fpga配置ad9522,verilog源代码,直接填写寄存器值就可以使用。已经在板子上验证过了。。。。
2022-07-05 23:52:41 6KB AD9522 verilog f
1