包括如下实验的verilog设计报告:实验 1 十六位超前进位加法器、实验二 十六位加减法器、实验三 十六位的乘法器、实验四 自动售货机设计。有设计思路、程序代码、测试代码和仿真波形结果。
2024-01-13 20:00:08 282KB verilog 16位加法器 16位乘法器
1
VC6.0写的 能够实现逻辑运算(逻辑非、逻辑加、逻辑乘、逻辑异)、定点整数的单符号位补码加减运算、定点整数的原码一位乘法运算和浮点数的加减运算。
2023-12-29 21:00:25 4.22MB
1
Logisim中可以直接运行的文件
2023-11-11 16:04:21 625KB
1
本文件为基础的汇编入门8位乘法算法 试用于51 avr等8位汇编编程的单片机
2022-11-30 22:28:58 38KB 汇编 8位乘法
1
八位乘法器,用verilog编写的,很详细,其原理和代码都有,很好的学习文档
2022-06-14 21:02:38 64KB 乘法器
1
EDA入门实例,文档中将所有程序贴在上面,还附有仿真图
2022-06-07 23:29:43 116KB 8位乘法器 EDA
1
8bit按位乘法器,与非门电路“手工”搭的,适合初学者
2022-05-28 00:48:08 583KB 乘法器
1
verilog的16位乘法器,面向初学者。
2022-05-24 19:25:26 623B HDL
1
实现无符号整数一位乘法 规则:将n位乘转换为n次“累加与移位”,即每一步只求一位乘数所对应的新部分积,并与原部分积作一次累加,然后右移一位。 流程图:见教材P92页,图3-15 B—存放被乘数、C—存放乘数、 A—初值为0,存放部分积,最后存放乘积高位。 用A和C寄存器联合右移以存放逐次增加的部分积,并且使每次操作依据的乘数位始终在C的最低位。乘法完成时,A、C存放的是最后乘积,其中C的内容是乘积的低位部分。 硬件逻辑原理图:图3-16
2022-05-15 21:14:31 519KB 组原
1