包括如下实验的verilog设计报告:实验 1 十六位超前进位加法器、实验二 十六位加减法器、实验三 十六位的乘法器、实验四 自动售货机设计。有设计思路、程序代码、测试代码和仿真波形结果。
2024-01-13 20:00:08 282KB verilog 16位加法器 16位乘法器
1
verilog的16位乘法器,面向初学者。
2022-05-24 19:25:26 623B HDL
1
16位乘法器芯片verilog设计实验Quartus9.1工程源码+设计说明文件,可以做为的学习实验设计参考。 module mux16( clk,rst_n, start,ain,bin,yout,done ); input clk; //芯片的时钟信号。 input rst_n; //低电平复位、清零信号。定义为0表示芯片复位;定义为1表示复位信号无效。 input start; //芯片使能信号。定义为0表示信号无效;定义为1表示芯片读入输入管脚得乘数和被乘数,并将乘积复位清零。 input[15:0] ain; //输入a(被乘数),其数据位宽为16bit. input[15:0] bin; //输入b(乘数),其数据位宽为16bit. output[31:0] yout; //乘积输出,其数据位宽为32bit. output done; //芯片输出标志信号。定义为1表示乘法运算完成. reg[15:0] areg; //乘数a寄存器 reg[15:0] breg; //乘数b寄存器 reg[31:0] yout_r; //乘积寄存器 reg done_r; reg[4:0] i; //移位次数寄存器 always@(posedge clk) begin if(!rst_n) begin areg <= 16'h0000; breg <= 16'h0000; done_r <= 1'b0; yout_r <= 32'h00000000; i <= 5'd0; end else if(start) //启动运算 begin if(i < 5'd21) i <= i+1'b1; if(i == 5'd0) begin //锁存乘数、被乘数 areg <= ain; breg 5'd0 && i < 5'd16) begin if(areg[i-1]) yout_r = {1'b0,yout[30:15]+breg,yout_r[14:1]}; //累加并移位 else yout_r >1; //移位不累加 end else if(i == 5'd16 && areg[15]) yout_r[31:16] <= yout_r[31:16]+breg; //累加不移位 else if(i == 5'd18) done_r <= 1'b1; //乘完成标志位置位 else if(i == 5'd20) done_r <= 1'b0; //乘完成标志位清除 end else i <= 5'd0; end assign done = done_r; assign yout = yout_r;
MAXII实现16位乘法器Verilog代码
2021-08-20 17:01:45 2.29MB fpga/cpld
1
Verilog实现的16为乘法器,并用仿真代码。
2021-07-20 15:19:48 782KB FPGA,乘法器
1
16位乘法器 16位乘法器 16位乘法器 16位乘法器
2021-07-13 16:48:44 51KB 16位乘法器
1
适合新手学习verilog HDL语言。并附有testbench文件,共新手学习使用。 适合新手学习verilog HDL语言。并附有testbench文件,共新手学习使用。
2021-02-27 09:10:41 2KB testbench+ve HDL 16位乘法器
1
使用硬件编程语言设计了一个16位加法器 并用matlab模拟输入和输出 并对这次课程设计进行了总结 Matrix calculation is one of the fundamental mathematic calculations commonly used in advanced signal processing algorithms for a wide range of applications, such as satellite navigation systems, complex control systems and etc. In order to implement such advanced signal processing algorithms on an FPGA based embedded system, we need to use VHDL to design a matrix multiplier core for a Xilinx FPGA device.
2020-04-01 03:08:14 7.94MB vhdl matlab
1
通过移位相加的方法,实现两个16位二进制数据的相乘。经过测试,能够得到正确的结果。
2020-04-01 03:07:41 2KB Verilog 乘法器
1
适合新手学习verilog HDL语言。并附有testbench文件,共新手学习使用。
2020-04-01 03:03:11 1KB testbench+verilog HDL 16位乘法器
1