用于.las文件读写的实验数据.las格式的地面激光雷达数据.las格式的激光雷达数据,读取.las文件提供源数据。
2023-02-23 22:20:26 5.69MB .las数据
1
1、了解 CPU 常用的端口连接总线的方法 2、掌握 74HC245、74HC373 进行数据读入与输出 2、硬件验证实验 2、实验板验证
2022-11-24 15:53:35 225KB proteus 流程图
1
利用板上集成电路上的资源,拓展一片74HC245,用来读入开关的状态;拓展一片74HC373,用来做输出口,控制8个LED灯。
2022-11-22 11:12:45 21KB 微机原理 IO口读写实验
1
STM32F103RCT6 读写SD卡的操作过程,已经测试没有问题
1
DM643 EMIF 口读写实验例程——同步到动态存储器的读写访问。
2022-08-01 15:57:11 607KB DM643 EMIF
1
51单片机6264扩展存储器读写实验,包含实验指导,51 6264 573
2022-01-20 11:25:10 111KB 51 6264 573
1
LPC210X的I2C控制单元 I2C 串行I/O 控制器 LPC2101/2102/2103 各含有两个I2C 总线控制器。   I2C 是一个双向总线,它使用两条线:串行时钟线(SCL) 和串行数据线(SDA) 实现互连   芯片的控制。每个器件都通过一个唯一的地址来识别,这些器件可以是只接收器件(例如LCD 驱动器),或是可以发送和接收信息的发送器(例如存储器)。发送器和/或接收器可以操作为主或从模式,这取决于芯片必须启动数据的发送或是只被寻址。I2C 是一个多主机总线,它可以由超过一个总线主控器进行控制。   LPC2101/2102/2103 所包含的I2C 功能支持400kbit/s(快速I2C)。   特性 标准的I2C 总线接口 可配置为主机、从机或主/从机 可编程时钟可实现通用速率控制 主机从机之间双向数据传输 多主机总线(无中央主机) 同时发送的主机之间进行仲裁,避免了总线数据的冲突 串行时钟同步允许不同位速率的器件能通过一条串行总线通信 串行时钟同步可作为握手机制使串行传输挂起和恢复 I2C 总线可用于测试和诊断
2021-12-25 14:42:28 190KB 嵌入式系统
1
Uart串口读写实验Cyclone10 FPGA实验Verilog源码Quartus17.1工程文件+文档资料, FPGA为CYCLONE10LP系列中的10CL025YU256C8. 完整的Quartus工程文件,可以做为你的学习设计参考。 module uart_test( input clk, input rst_n, input uart_rx, output uart_tx ); parameter CLK_FRE = 50;//Mhz localparam IDLE = 0; localparam SEND = 1; //send HELLO ALINX\r\n localparam WAIT = 2; //wait 1 second and send uart received data reg[7:0] tx_data; reg[7:0] tx_str; reg tx_data_valid; wire tx_data_ready; reg[7:0] tx_cnt; wire[7:0] rx_data; wire rx_data_valid; wire rx_data_ready; reg[31:0] wait_cnt; reg[3:0] state; assign rx_data_ready = 1'b1;//always can receive data, //if HELLO ALINX\r\n is being sent, the received data is discarded always@(posedge clk or negedge rst_n) begin if(rst_n == 1'b0) begin wait_cnt <= 32'd0; tx_data <= 8'd0; state <= IDLE; tx_cnt <= 8'd0; tx_data_valid <= 1'b0; end else case(state) IDLE: state <= SEND; SEND: begin wait_cnt <= 32'd0; tx_data <= tx_str; if(tx_data_valid == 1'b1 && tx_data_ready == 1'b1 && tx_cnt < 8'd12)//Send 12 bytes data begin tx_cnt <= tx_cnt + 8'd1; //Send data counter end else if(tx_data_valid && tx_data_ready)//last byte sent is complete begin tx_cnt <= 8'd0; tx_data_valid <= 1'b0; state <= WAIT; end else if(~tx_data_valid) begin tx_data_valid <= 1'b1; end end WAIT: begin wait_cnt <= wait_cnt + 32'd1; if(rx_data_valid == 1'b1) begin tx_data_valid <= 1'b1; tx_data <= rx_data; // send uart received data end else if(tx_data_valid && tx_da
高速双路ADC AD9280 FPGA读写实验 Verilog设计源码Quartus工程文件,ADC芯片选用AD9280 ,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module hs_dual_ad( input sys_clk , //AD0 input [9:0] ad0_data , //AD0数据 input ad0_otr , //输入电压超过量程标志 output ad0_clk , //AD0(AD9280)采样时钟 output ad0_oe , //AD1 input [9:0] ad1_data , //AD0数据 input ad1_otr , //输入电压超过量程标志 output ad1_clk , //AD1(AD9280)采样时钟 output ad1_oe ); //***************************************************** //** main code //***************************************************** // ad0_oe=0,正常模式;ad0_oe=1,高阻 wire clk_50m; assign ad0_oe = 1'b0; assign ad1_oe = 1'b0; assign ad0_clk = ~clk_50m; assign ad1_clk = ~clk_50m; pll u_pll( .inclk0 (sys_clk), .c0 (clk_50m) ); endmodule
1
使用I2C总线控制器驱动程序编写应用程序,往CAT1025内部写入16个字节,然后读回并进行校验
2021-10-18 21:53:59 71KB IIc总线控制器,ARM,s3c2410
1