使用非还原除法算法的有符号/无符号整数除法器 输出操作结果之前,需要DATA_WIDTH + 1个周期。 参数 范围 描述 DATA_WIDTH 除数和除数的数据宽度 接口信号 信号 输入/输出 宽度 描述 k 一世 钟 rst_n 一世 异步复位 股利 一世 [DATA_WIDTH-1:0] 股利 除数 一世 [DATA_WIDTH-1:0] 除数 Signed_ope 一世 0:无符号操作,1:有符号操作 开始 一世 启动(高脉冲) 齐平 一世 刷新内部状态(高脉冲) 商 Ø [DATA_WIDTH-1:0] 商 余 Ø [DATA_WIDTH-1:0] 余 准备好 Ø 表示qutient和剩余数已准备就绪(高级别) 时序图
2023-02-25 10:38:08 5KB SystemVerilog
1
本文件为基础的汇编入门8位除法算法 适用于51 avr等8位汇编编程的单片机
2022-12-26 11:36:29 814B pic 汇编 单字节除法
1
基于verilog的无符号除法,位宽可自定义,相较于官方提供的IP核,具有低时延、高效率、资源占用低的优势
2022-11-08 03:33:50 2KB verilog 无符号除法 低时延
1
基于verilog的有符号除法,位宽可自定义,相较于官方提供的IP核,具有低时延、高效率、资源占用低的优势
2022-11-06 23:17:15 3KB Verilog 有符号除法 低时延
1
Verilog实现带符号数除法, 李亚明<>中的除法器bugfix.
2021-09-13 09:41:07 1KB 带符号除法
1
包含DIV、DIVU的v文件以及对应的testbank文件,代码带注释。
1
流水线有符号除法器FPGA实现,可直接仿真,内附除法器原理及激励文件
2019-12-21 19:34:11 6MB verilog 除法器
1