电子时钟仿真电路图数字电子技术报仿真仿真修改文件
2023-07-07 09:58:28 1018KB 电子时钟电路 ms10
1
单片机6位数时钟程序由c语言编写,可以用Keil uVision3+proteus仿真
2022-11-30 19:56:53 106B 单片机 6位数时钟 仿真
1
数码管时钟 电子钟 时分秒 可调时分 基本功能(1) 可由按键调整时间(2) 可整点报时(“嘟、嘟”声)(3) 可设定时,定时时间到发出“嘟、嘟”声显示器件可选 段式LED显示或者LCD模块显示,嘟嘟声可选用蜂鸣器。
1
用51最小系统处理的时钟温度频率计的仿真 ,单片机课设所需的资源,内附Proteus的仿真文件和hex文件。
2022-05-16 17:43:10 52KB 仿真 频率计 温度计 时钟
1
基于单片机AT89C52和时钟芯片DS1302 lcd的仿真图
2021-12-19 15:45:32 19KB 电子时钟
1
用单片机设计一个数字时钟,其中包括仿真和原理图
2021-12-19 11:55:52 36KB 时钟
1
基于单片机的DS1302与1602LCD设计的可调式电子日历与时钟仿真设计资料
2021-11-11 17:02:36 34KB 基于单片机的DS1302与160
本文主要讲了51单片机8位数码管电子时钟仿真图及源代码,下面一起来学习一下
2021-10-27 21:03:52 106KB 51单片机 数码管 源代码 文章
1
Proteus实时时钟仿真,带程序,直接用Proteus打开就能用
2021-10-13 23:43:07 46KB proteus 时钟仿真 仿真器 嵌入式
1