正弦波,三角波,方波multisim 正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波multisim正弦波,三角波,方波mul
2022-06-14 14:06:38 119KB 体感技术
1
三角波方波正弦波函数发生器仿真图ewb 自己做的,仿真还比较成功~
2022-05-13 20:24:34 28KB 三角波 方波 正弦波 函数发生器
1
简单的频谱分析后计算谐波 1024点 频率未做插值法存在一定误差,使用片上ADC-DAC模拟正弦波。三角波、方波的THD计算 需要根据程序的协议配合上位机串口使用 注释掉相关部分轮询THD计算
2022-02-03 19:04:46 5.63MB stm32 THD FFT
1
可调幅值可调频率的多种波形发生电路,带有可调幅值的直流稳压电源
2021-12-27 19:03:41 1.02MB 多种波形发生电路 正弦波 三角波 方波
1
AT89S52+TLC5615产生三角波,方波和锯齿波,我已经用ISIS仿真过来喔,完全正确~~压缩包里面有仿真电路图和C51程序,供大家参考~~
1
信号发生器 正弦波 三角波 方波 PROTEUS仿真图
2021-11-27 15:16:52 83KB 信号发生器 正弦波 三角波 方波
1
基于multisim三角波、方波仿真
2021-11-16 13:03:29 118KB multisim 三角波 方波 仿真
1
2013电子设计大赛,AD9854ASQ的一些很稀有的码原,对制作示波器,函数信号发生器,波形的各种检测都有,都经过实际电路测试。
2021-10-03 09:55:21 9.34MB 电子设计大赛
1
DDS信号发生器,能产生多种波形,正玄波,三角波,方波,频率可调,相位可调-DDS
2021-09-13 01:06:36 242KB DDS
1
verilog编写的可频的dds!可生成正弦,三角波,方波!
2021-09-01 11:09:05 1.01MB dds 可调频 verilog fpga
1