多路智能竞赛抢答器课程设计完整版

上传者: zh1234560 | 上传时间: 2021-06-10 12:46:46 | 文件大小: 2.81MB | 文件类型: RAR
多路智能竞赛抢答器课程设计完整版 本课程设计包含课程设计所需要的所有东西,有如下: 1.完整课程设计任务书以及目录、正文 2.本课程设计完整版还包含protues7.5版仿真文件。 3.包含完整的仿真录像 4.包含完整抢答器电路图 抢答器要求: 1)有8名选手编号为;1,2…8,各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2…8; 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的开始; 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 可选择的扩展功能: 4)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。

文件下载

资源详情

[{"title":"( 7 个子文件 2.81MB ) 多路智能竞赛抢答器课程设计完整版","children":[{"title":"抢答器仿真.exe <span style='color:#111;'> 2.57MB </span>","children":null,"spread":false},{"title":"多路智力竞赛抢答器课程设计正文.doc <span style='color:#111;'> 732.50KB </span>","children":null,"spread":false},{"title":"总体电路图.JPG <span style='color:#111;'> 63.81KB </span>","children":null,"spread":false},{"title":"抢答电路仿真.jpg <span style='color:#111;'> 100.98KB </span>","children":null,"spread":false},{"title":"多路智力竞赛抢答器任务书以及目录.doc <span style='color:#111;'> 62.50KB </span>","children":null,"spread":false},{"title":"多路抢答器.rar <span style='color:#111;'> 44.61KB </span>","children":null,"spread":false},{"title":"抢答器仿真.txt <span style='color:#111;'> 45B </span>","children":null,"spread":false}],"spread":true}]

评论信息

  • xerdong1 :
    用的不错啊,谢谢!
    2015-01-14
  • discipling :
    内容正确并且全面,是一个优秀的作品
    2014-08-27
  • u014628800 :
    挺全面的,面面俱到,全力支持!
    2014-04-19
  • cwlhhh :
    怎么只有6、7两路可以抢答啊
    2012-07-03
  • pengsha312 :
    挺全面的,面面俱到,全力支持!
    2011-12-22

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明