spi-master-verilog_and_VHDL

上传者: yalsim | 上传时间: 2022-09-11 17:23:33 | 文件大小: 16KB | 文件类型: ZIP
GITHUB上一个非常好用的SPI开源代码,代码风格极好,注释清晰,结构简单,使用方便,初学者可以作为学习参考,有Verilog和VHDL两个版本。 ------------------------------------------------------------------------------------ // Note: i_Clk must be at least 2x faster than i_SPI_Clk // // Parameters: SPI_MODE, can be 0, 1, 2, or 3. See above. // Can be configured in one of 4 modes: // Mode | Clock Polarity (CPOL/CKP) | Clock Phase (CPHA) // 0 | 0 | 0 // 1 | 0 | 1 // 2 | 1 | 0 // 3 | 1 | 1 -------------------------------------------------------------------------------- // Control/Data Signals, input i_Rst_L, // FPGA Reset input i_Clk, // FPGA Clock // TX (MOSI) Signals input [7:0] i_TX_Byte, // Byte to transmit on MOSI input i_TX_DV, // Data Valid Pulse with i_TX_Byte output reg o_TX_Ready, // Transmit Ready for next byte // RX (MISO) Signals output reg o_RX_DV, // Data Valid pulse (1 clock cycle) output reg [7:0] o_RX_Byte, // Byte received on MISO // SPI Interface output reg o_SPI_Clk, input i_SPI_MISO, output reg o_SPI_MOSI

文件下载

资源详情

[{"title":"( 11 个子文件 16KB ) spi-master-verilog_and_VHDL","children":[{"title":"spi-master-master","children":[{"title":"VHDL","children":[{"title":"sim","children":[{"title":"SPI_Master_With_Single_CS_TB.vhd <span style='color:#111;'> 3.36KB </span>","children":null,"spread":false},{"title":"SPI_Master_TB.vhd <span style='color:#111;'> 3.00KB </span>","children":null,"spread":false}],"spread":true},{"title":"source","children":[{"title":"SPI_Master.vhd <span style='color:#111;'> 7.49KB </span>","children":null,"spread":false},{"title":"SPI_Master_With_Single_CS.vhd <span style='color:#111;'> 5.98KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"LICENSE <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false},{"title":"README.md <span style='color:#111;'> 13B </span>","children":null,"spread":false},{"title":"Verilog","children":[{"title":"sim","children":[{"title":"SPI_Master_With_Single_CS_TB.sv <span style='color:#111;'> 2.87KB </span>","children":null,"spread":false},{"title":"SPI_Master_TB.sv <span style='color:#111;'> 2.37KB </span>","children":null,"spread":false}],"spread":true},{"title":"source","children":[{"title":"SPI_Master_With_Single_CS.v <span style='color:#111;'> 5.71KB </span>","children":null,"spread":false},{"title":"SPI_Master.v <span style='color:#111;'> 7.21KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":".gitattributes <span style='color:#111;'> 66B </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明