帆板控制电路

上传者: xzyang666 | 上传时间: 2022-04-15 15:33:47 | 文件大小: 1.85MB | 文件类型: RAR
太阳能帆板控制,跟踪太阳角度,是太阳能效率最大化!

文件下载

资源详情

[{"title":"( 87 个子文件 1.85MB ) 帆板控制电路","children":[{"title":"2011国家级比赛项目","children":[{"title":"论文1111.doc <span style='color:#111;'> 303.00KB </span>","children":null,"spread":false},{"title":"ADXL345模块","children":[{"title":"测试程序","children":[{"title":"ADXL345","children":[{"title":"C51","children":[{"title":"ADXL345-201109030437.hex <span style='color:#111;'> 7.48KB </span>","children":null,"spread":false},{"title":"Main.c <span style='color:#111;'> 12.38KB </span>","children":null,"spread":false},{"title":"ADXL345-201109030437.M51 <span style='color:#111;'> 26.49KB </span>","children":null,"spread":false},{"title":"ADXL345.hex <span style='color:#111;'> 7.77KB </span>","children":null,"spread":false},{"title":"Main.LST <span style='color:#111;'> 19.48KB </span>","children":null,"spread":false},{"title":"ADXL345-201109030437.lnp <span style='color:#111;'> 56B </span>","children":null,"spread":false},{"title":"Main.OBJ <span style='color:#111;'> 23.72KB </span>","children":null,"spread":false},{"title":"ADXL345_uvproj.bak <span style='color:#111;'> 12.93KB </span>","children":null,"spread":false},{"title":"ADXL345.uvproj <span style='color:#111;'> 12.94KB </span>","children":null,"spread":false},{"title":"ADXL345_uvopt.bak <span style='color:#111;'> 59.12KB </span>","children":null,"spread":false},{"title":"ADXL345.plg <span style='color:#111;'> 5.36KB </span>","children":null,"spread":false},{"title":"ADXL345.uvopt <span style='color:#111;'> 57.02KB </span>","children":null,"spread":false},{"title":"12C5A16S2.h <span style='color:#111;'> 17.37KB </span>","children":null,"spread":false},{"title":"ADXL345 <span style='color:#111;'> 20.91KB </span>","children":null,"spread":false},{"title":"ADXL345-201109030437.plg <span style='color:#111;'> 536B </span>","children":null,"spread":false},{"title":"ADXL345.M51 <span style='color:#111;'> 25.28KB </span>","children":null,"spread":false},{"title":"ADXL345-201109030437 <span style='color:#111;'> 21.54KB </span>","children":null,"spread":false},{"title":"ADXL345.lnp <span style='color:#111;'> 43B </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true},{"title":"原理图","children":[{"title":"ADXL345.BOM <span style='color:#111;'> 1.07KB </span>","children":null,"spread":false},{"title":"ADXL345.pdf <span style='color:#111;'> 39.22KB </span>","children":null,"spread":false}],"spread":true},{"title":"相关资料","children":[{"title":"ADXL345.pdf <span style='color:#111;'> 515.25KB </span>","children":null,"spread":false},{"title":"ADXL345中文PDF.pdf <span style='color:#111;'> 874.70KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"v","children":[{"title":"led_main.opt.bak <span style='color:#111;'> 3.24KB </span>","children":null,"spread":false},{"title":"a01_reset.lst <span style='color:#111;'> 5.07KB </span>","children":null,"spread":false},{"title":"a05_PWM.lst <span style='color:#111;'> 3.59KB </span>","children":null,"spread":false},{"title":"a06_dis_led.lst <span style='color:#111;'> 7.50KB </span>","children":null,"spread":false},{"title":"A00_MAIN.lst <span style='color:#111;'> 9.30KB </span>","children":null,"spread":false},{"title":"led_main_Opt.Bak <span style='color:#111;'> 3.24KB </span>","children":null,"spread":false},{"title":"led_main.lnp <span style='color:#111;'> 177B </span>","children":null,"spread":false},{"title":"Fort.obj <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"led_main_uvproj.bak <span style='color:#111;'> 13.95KB </span>","children":null,"spread":false},{"title":"led_main.plg <span style='color:#111;'> 168B </span>","children":null,"spread":false},{"title":"a04_jiaodu.obj <span style='color:#111;'> 8.28KB </span>","children":null,"spread":false},{"title":"a06_dis_lcd.obj <span style='color:#111;'> 1.97KB </span>","children":null,"spread":false},{"title":"a02_timer_cnt.obj <span style='color:#111;'> 2.24KB </span>","children":null,"spread":false},{"title":"a07_ADC.obj <span style='color:#111;'> 2.38KB </span>","children":null,"spread":false},{"title":"include","children":[{"title":"a04_jiaodu.h <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"a05_PWM.h <span style='color:#111;'> 735B </span>","children":null,"spread":false},{"title":"a0.h <span style='color:#111;'> 634B </span>","children":null,"spread":false},{"title":"a05_PWM.c <span style='color:#111;'> 1.40KB </span>","children":null,"spread":false},{"title":"use_ds18b20.c <span style='color:#111;'> 2.46KB </span>","children":null,"spread":false},{"title":"a01_reset.H <span style='color:#111;'> 865B </span>","children":null,"spread":false},{"title":"a02_timer_cnt.h <span style='color:#111;'> 843B </span>","children":null,"spread":false},{"title":"a07_ADC.h <span style='color:#111;'> 705B </span>","children":null,"spread":false},{"title":"a06_dis_lcd.h <span style='color:#111;'> 762B </span>","children":null,"spread":false},{"title":"a04_jiaodu.c <span style='color:#111;'> 11.51KB </span>","children":null,"spread":false},{"title":"a05_clkout.h <span style='color:#111;'> 598B </span>","children":null,"spread":false},{"title":"5110.h <span style='color:#111;'> 3.80KB </span>","children":null,"spread":false},{"title":"a01_reset.C <span style='color:#111;'> 2.29KB </span>","children":null,"spread":false},{"title":"A00_MAIN.C <span style='color:#111;'> 3.86KB </span>","children":null,"spread":false},{"title":"a02_timer_cnt.c <span style='color:#111;'> 1.57KB </span>","children":null,"spread":false},{"title":"NEW_8051.H <span style='color:#111;'> 19.04KB </span>","children":null,"spread":false},{"title":"A_TYPE.H <span style='color:#111;'> 840B </span>","children":null,"spread":false},{"title":"A03_KEY_SCAN.C <span style='color:#111;'> 3.10KB </span>","children":null,"spread":false},{"title":"a0.c <span style='color:#111;'> 515B </span>","children":null,"spread":false},{"title":"a06_dis_lcd.c <span style='color:#111;'> 1.37KB </span>","children":null,"spread":false},{"title":"Fort.c <span style='color:#111;'> 7.46KB </span>","children":null,"spread":false},{"title":"A00_main.H <span style='color:#111;'> 1.47KB </span>","children":null,"spread":false},{"title":"c格式.zip <span style='color:#111;'> 725B </span>","children":null,"spread":false},{"title":"use_ds18b20.h <span style='color:#111;'> 949B </span>","children":null,"spread":false},{"title":"12C5A16S2.h <span style='color:#111;'> 17.37KB </span>","children":null,"spread":false},{"title":"A03_KEY_SCAN.H <span style='color:#111;'> 1.11KB </span>","children":null,"spread":false},{"title":"a05_clkout.c <span style='color:#111;'> 1.16KB </span>","children":null,"spread":false},{"title":"5110.c <span style='color:#111;'> 6.33KB </span>","children":null,"spread":false},{"title":"a07_ADC.c <span style='color:#111;'> 3.68KB </span>","children":null,"spread":false}],"spread":false},{"title":"a07_ADC.lst <span style='color:#111;'> 9.55KB </span>","children":null,"spread":false},{"title":"led_main_uvopt.bak <span style='color:#111;'> 78.11KB </span>","children":null,"spread":false},{"title":"A03_KEY_SCAN.obj <span style='color:#111;'> 2.43KB </span>","children":null,"spread":false},{"title":"a06_dis_lcd.lst <span style='color:#111;'> 3.41KB </span>","children":null,"spread":false},{"title":"led_main.uvproj <span style='color:#111;'> 14.13KB </span>","children":null,"spread":false},{"title":"Fort.lst <span style='color:#111;'> 11.65KB </span>","children":null,"spread":false},{"title":"A03_KEY_SCAN.lst <span style='color:#111;'> 10.18KB </span>","children":null,"spread":false},{"title":"led_main.M51 <span style='color:#111;'> 56.13KB </span>","children":null,"spread":false},{"title":"a05_PWM.obj <span style='color:#111;'> 1.15KB </span>","children":null,"spread":false},{"title":"led_main.uvopt <span style='color:#111;'> 78.11KB </span>","children":null,"spread":false},{"title":"led_main_Uv2.Bak <span style='color:#111;'> 1.87KB </span>","children":null,"spread":false},{"title":"a02_timer_cnt.lst <span style='color:#111;'> 4.53KB </span>","children":null,"spread":false},{"title":"A00_MAIN.obj <span style='color:#111;'> 2.89KB </span>","children":null,"spread":false},{"title":"5110.lst <span style='color:#111;'> 12.31KB </span>","children":null,"spread":false},{"title":"a06_dis_led.obj <span style='color:#111;'> 1.95KB </span>","children":null,"spread":false},{"title":"led_main.hex <span style='color:#111;'> 14.56KB </span>","children":null,"spread":false},{"title":"5110.obj <span style='color:#111;'> 6.50KB </span>","children":null,"spread":false},{"title":"a01_reset.obj <span style='color:#111;'> 2.15KB </span>","children":null,"spread":false},{"title":"led_main.Uv2.bak <span style='color:#111;'> 1.91KB </span>","children":null,"spread":false},{"title":"a04_jiaodu.lst <span style='color:#111;'> 23.96KB </span>","children":null,"spread":false}],"spread":false},{"title":"v2.6.zip <span style='color:#111;'> 129.85KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

  • qq_20499623 :
    还是可以参考的。
    2018-07-09

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明