MIPS_CU (练习)

上传者: xyl903481310 | 上传时间: 2021-05-04 14:02:50 | 文件大小: 640KB | 文件类型: ZIP
在行为VHDL中描述支持以下MIPS ISA子集(即11条指令)的MIPS控制单元(CU) a) 7 Arithmetic/Logical instructions: add, sub, and, or, nor, slt, addi b) 2 Memory reference: lw, sw c) 2 Control transfer: beq, j 所需的MIPS CU的功能,接口和结构如图1所示。CU具有以下接口: • Generics - Instruction width (n_bits_instr = instr_mem_width with default value of 32) - Location of the operation (OP) code least significant bit in the instruction (opcode_start with default value of 26) - Location of the operation (OP) code most significant bit in the instruction (opcode_end with default value of 31) - Location of the function (funct) code least significant bit in the instruction (funct_start with default value of 0) - Location of the function (funct) code most significant bit in the instruction (funct_end with default value of 5) - Total number of supported ALU operations/functions (n_functions_alu with default value of 16) • Inputs - Instruction fetched (Instr -> n_bits_instr bits) • Outputs - Register destination (RegDst -> 1 bit) - Register write enable (RegWrite -> 1 bit) - ALU source (ALUSrc -> 1 bit) - ALU control (ALUControl -> [log2 (n_functions_alu)] bits) - Memory write enable (MemWrite -> 1 bit) - Memory read enable (MemRead -> 1 bit) - Memory to register (MemToReg -> 1 bit) - Conditional branch on equal (BEQ -> 1 bit) - Unconditional branch (J -> 1 bit) • In Vivado - Create a blank project - Add design and simulation source files - Run behavioral simulation - Your waveform configuration should be identical to the provided waveform snapshot, see Figure 2.

文件下载

资源详情

[{"title":"( 62 个子文件 640KB ) MIPS_CU (练习)","children":[{"title":"MIPS_CU","children":[{"title":"cu_simulation.png <span style='color:#111;'> 57.30KB </span>","children":null,"spread":false},{"title":"VHDL_1164pkg.pdf <span style='color:#111;'> 95.41KB </span>","children":null,"spread":false},{"title":"MIPS_CU.pdf <span style='color:#111;'> 522.64KB </span>","children":null,"spread":false},{"title":"vivado_project","children":[{"title":"vivado_project.sim","children":[{"title":"sim_1","children":[{"title":"behav","children":[{"title":"xsim","children":[{"title":"xvhdl.log <span style='color:#111;'> 178B </span>","children":null,"spread":false},{"title":"cu_tb_vhdl.prj <span style='color:#111;'> 468B </span>","children":null,"spread":false},{"title":"xsim.dir","children":[{"title":"cu_tb_behav","children":[{"title":"xsimcrash.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"TempBreakPointFile.txt <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"xsim.dbg <span style='color:#111;'> 12.66KB </span>","children":null,"spread":false},{"title":"xsim.xdbg <span style='color:#111;'> 2.73KB </span>","children":null,"spread":false},{"title":"obj","children":[{"title":"xsim_1.win64.obj <span style='color:#111;'> 2.55KB </span>","children":null,"spread":false},{"title":"xsim_0.win64.obj <span style='color:#111;'> 22.35KB </span>","children":null,"spread":false},{"title":"xsim_1.c <span style='color:#111;'> 4.24KB </span>","children":null,"spread":false}],"spread":false},{"title":"xsimk.exe <span style='color:#111;'> 82.58KB </span>","children":null,"spread":false},{"title":"xsimkernel.log <span style='color:#111;'> 318B </span>","children":null,"spread":false},{"title":"xsim.type <span style='color:#111;'> 6.82KB </span>","children":null,"spread":false},{"title":"xsim.mem <span style='color:#111;'> 5.68KB </span>","children":null,"spread":false},{"title":"xsim.rtti <span style='color:#111;'> 2.01KB </span>","children":null,"spread":false},{"title":"xsimSettings.ini <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"webtalk","children":[{"title":"xsim_webtalk.tcl <span style='color:#111;'> 3.80KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.html <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.wdm <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.xml <span style='color:#111;'> 2.78KB </span>","children":null,"spread":false},{"title":".xsim_webtallk.info <span style='color:#111;'> 65B </span>","children":null,"spread":false}],"spread":false},{"title":"Compile_Options.txt <span style='color:#111;'> 187B </span>","children":null,"spread":false},{"title":"xsim.svtype <span style='color:#111;'> 12B </span>","children":null,"spread":false},{"title":"xsim.reloc <span style='color:#111;'> 446B </span>","children":null,"spread":false},{"title":"xsim.rlx <span style='color:#111;'> 704B </span>","children":null,"spread":false}],"spread":false},{"title":"xil_defaultlib","children":[{"title":"cu.vdb <span style='color:#111;'> 7.85KB </span>","children":null,"spread":false},{"title":"math_real.vdb <span style='color:#111;'> 101.68KB </span>","children":null,"spread":false},{"title":"xil_defaultlib.rlx <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"my_package.vdb <span style='color:#111;'> 9.77KB </span>","children":null,"spread":false},{"title":"cu_tb.vdb <span style='color:#111;'> 9.10KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"webtalk.jou <span style='color:#111;'> 1.00KB </span>","children":null,"spread":false},{"title":"simulate.bat <span style='color:#111;'> 1.03KB </span>","children":null,"spread":false},{"title":"webtalk_30456.backup.jou <span style='color:#111;'> 1.00KB </span>","children":null,"spread":false},{"title":"compile.log <span style='color:#111;'> 178B </span>","children":null,"spread":false},{"title":"xsim.ini <span style='color:#111;'> 40B </span>","children":null,"spread":false},{"title":"webtalk_30456.backup.log <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false},{"title":"elaborate.bat <span style='color:#111;'> 1.01KB </span>","children":null,"spread":false},{"title":"cu_tb.tcl <span style='color:#111;'> 459B </span>","children":null,"spread":false},{"title":"cu_tb_behav.wdb <span style='color:#111;'> 20.42KB </span>","children":null,"spread":false},{"title":"elaborate.log <span style='color:#111;'> 912B </span>","children":null,"spread":false},{"title":"xvhdl.pb <span style='color:#111;'> 312B </span>","children":null,"spread":false},{"title":"simulate.log <span style='color:#111;'> 50B </span>","children":null,"spread":false},{"title":"xelab.pb <span style='color:#111;'> 1.99KB </span>","children":null,"spread":false},{"title":".Xil","children":[{"title":"Webtalk-64556-WVD-ENG-5","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false},{"title":"Webtalk-30456-WVD-ENG-5","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"webtalk.log <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false},{"title":"compile.bat <span style='color:#111;'> 828B </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"vivado_project.xpr <span style='color:#111;'> 9.99KB </span>","children":null,"spread":false},{"title":"vivado_project.ip_user_files","children":[{"title":"README.txt <span style='color:#111;'> 130B </span>","children":null,"spread":false}],"spread":true},{"title":"vivado_project.hw","children":[{"title":"vivado_project.lpr <span style='color:#111;'> 290B </span>","children":null,"spread":false}],"spread":true},{"title":"vivado_project.cache","children":[{"title":"wt","children":[{"title":"webtalk_pa.xml <span style='color:#111;'> 3.35KB </span>","children":null,"spread":false},{"title":"project.wpc <span style='color:#111;'> 61B </span>","children":null,"spread":false},{"title":"gui_handlers.wdf <span style='color:#111;'> 2.82KB </span>","children":null,"spread":false},{"title":"java_command_handlers.wdf <span style='color:#111;'> 644B </span>","children":null,"spread":false},{"title":"xsim.wdf <span style='color:#111;'> 256B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"simulation_sources","children":[{"title":"cu_tb.vhd <span style='color:#111;'> 2.94KB </span>","children":null,"spread":false},{"title":"cu_wave.wcfg <span style='color:#111;'> 7.11KB </span>","children":null,"spread":false}],"spread":true},{"title":"design_sources","children":[{"title":"my_packages","children":[{"title":"my_package.vhd <span style='color:#111;'> 3.06KB </span>","children":null,"spread":false},{"title":"ieee_2008","children":[{"title":"math_real-body.vhdl <span style='color:#111;'> 63.83KB </span>","children":null,"spread":false},{"title":"math_real.vhdl <span style='color:#111;'> 20.78KB </span>","children":null,"spread":false}],"spread":true},{"title":"my_package-body.vhd <span style='color:#111;'> 227B </span>","children":null,"spread":false}],"spread":true},{"title":"cu.vhd <span style='color:#111;'> 2.73KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明