FPGA实现 异步FIFO + 同步FIFO 的工程源码

上传者: wuzhikaidetb | 上传时间: 2024-05-22 11:34:48 | 文件大小: 41.71MB | 文件类型: ZIP
基于Intel(Altera)的Quartus II平台(复制一下就可以很方便地迁移到其他FPGA平台,如Xilinx的Vivado),使用FPGA实现 异步FIFO + 同步FIFO 的工程源码: 1、异步FIFO的设计使用指针法;同步FIFO的设计使用指针法 + 计数器法; 2、详细的设计源码;详细的仿真源码、仿真设置和仿真结果; 3、更详细的说明请参考本人博文《https://wuzhikai.blog.csdn.net/article/details/121136040》及《https://wuzhikai.blog.csdn.net/article/details/121152844》。

文件下载

资源详情

[{"title":"( 462 个子文件 41.71MB ) FPGA实现 异步FIFO + 同步FIFO 的工程源码","children":[{"title":"_info <span style='color:#111;'> 14.33KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 14.30KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 14.30KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 12.78KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 12.74KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 12.74KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 7.86KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 7.84KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 7.84KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 7.19KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 7.19KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 7.19KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.84KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.84KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.84KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.08KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.08KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.07KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 4.59KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 4.59KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 4.59KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 3.09KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 3.08KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 3.06KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 1.78KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 1.76KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 1.76KB </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.root_partition.cmp.ammdb <span style='color:#111;'> 816B </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.vpr.ammdb <span style='color:#111;'> 715B </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.map.ammdb <span style='color:#111;'> 129B </span>","children":null,"spread":false},{"title":"async_fifo.map.ammdb <span style='color:#111;'> 129B </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.map.ammdb <span style='color:#111;'> 129B </span>","children":null,"spread":false},{"title":"sync_fifo_ptr_run_msim_rtl_verilog.do.bak <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt_run_msim_rtl_verilog.do.bak <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"async_fifo_run_msim_rtl_verilog.do.bak <span style='color:#111;'> 2.06KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt_run_msim_rtl_verilog.do.bak1 <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt_run_msim_rtl_verilog.do.bak2 <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt_run_msim_rtl_verilog.do.bak3 <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.map.bpm <span style='color:#111;'> 870B </span>","children":null,"spread":false},{"title":"async_fifo.map.bpm <span style='color:#111;'> 838B </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.map.bpm <span style='color:#111;'> 822B </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.root_partition.cmp.cdb <span style='color:#111;'> 10.28KB </span>","children":null,"spread":false},{"title":"async_fifo.map.cdb <span style='color:#111;'> 8.76KB </span>","children":null,"spread":false},{"title":"async_fifo.root_partition.map.cdb <span style='color:#111;'> 8.63KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.map.cdb <span style='color:#111;'> 8.45KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.map.cdb <span style='color:#111;'> 8.33KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.root_partition.map.cdb <span style='color:#111;'> 8.25KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.root_partition.map.cdb <span style='color:#111;'> 8.22KB </span>","children":null,"spread":false},{"title":"async_fifo.sgdiff.cdb <span style='color:#111;'> 7.43KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.sgdiff.cdb <span style='color:#111;'> 7.22KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.sgdiff.cdb <span style='color:#111;'> 6.95KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.(0).cnf.cdb <span style='color:#111;'> 5.25KB </span>","children":null,"spread":false},{"title":"async_fifo.(0).cnf.cdb <span style='color:#111;'> 5.17KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.rtlv_sg.cdb <span style='color:#111;'> 4.79KB </span>","children":null,"spread":false},{"title":"async_fifo.rtlv_sg.cdb <span style='color:#111;'> 4.31KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.(0).cnf.cdb <span style='color:#111;'> 3.94KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.rtlv_sg.cdb <span style='color:#111;'> 3.74KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.map_bb.cdb <span style='color:#111;'> 2.00KB </span>","children":null,"spread":false},{"title":"async_fifo.map_bb.cdb <span style='color:#111;'> 1.97KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.map_bb.cdb <span style='color:#111;'> 1.95KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.(2).cnf.cdb <span style='color:#111;'> 1.83KB </span>","children":null,"spread":false},{"title":"async_fifo.(2).cnf.cdb <span style='color:#111;'> 1.83KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.(4).cnf.cdb <span style='color:#111;'> 1.78KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.(2).cnf.cdb <span style='color:#111;'> 1.78KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.(3).cnf.cdb <span style='color:#111;'> 1.60KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.(1).cnf.cdb <span style='color:#111;'> 1.60KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.(1).cnf.cdb <span style='color:#111;'> 1.60KB </span>","children":null,"spread":false},{"title":"async_fifo.(1).cnf.cdb <span style='color:#111;'> 1.59KB </span>","children":null,"spread":false},{"title":"async_fifo.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"sync_fifo_ptr.root_partition.map.reg_db.cdb <span style='color:#111;'> 227B </span>","children":null,"spread":false},{"title":"sync_fifo_cnt.root_partition.map.reg_db.cdb <span style='color:#111;'> 227B </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明