SystemVerilog-Implementation-of-DDR3-Controller:该控制器通过符合Micro数据手册规格的状态机结构通过Verilog实现,并连接到预定义的DDR3存储器。 通过专门的测试平台可以成功进行设计验证,并通过SystemVerilog接口将其连接到提供的AHB

上传者: 42127835 | 上传时间: 2022-08-24 16:32:28 | 文件大小: 48KB | 文件类型: ZIP
DDR3控制器的SystemVerilog实现 这是一个小组项目。 该控制器通过符合Micro数据手册规格的状态机结构通过Verilog实现,并连接到预定义的DDR3存储器。 通过专门的测试平台可以成功进行设计验证,并通过SystemVerilog接口将其连接到提供的AHB。 top.sv顶部模块 ddr3_controller.sv ddr3内存控制器 st_defs.svh ddr3_controller.sv的参数,控制器状态 intf.sv连接ddr3_controller.sv和ddr3.v的接口 ddr3.v给定的ddr3内存 1024Mb_ddr3_parameters.vh ddr3.v的给定参数 sg093.v ddr3.v的给定参数 defs.svh ddr3.v的给定参数

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明