corundum:开源,高性能,基于FPGA的NIC

上传者: 42103587 | 上传时间: 2022-11-01 23:38:51 | 文件大小: 4.63MB | 文件类型: ZIP
刚玉自述文件 GitHub存储库: : Google网上论坛: : 介绍 Corundum是一种基于FPGA的开源高性能NIC。 功能包括高性能数据路径,10G / 25G / 100G以太网,PCI Express Gen 3,自定义,高性能,紧密集成的PCIe DMA引擎,许多(1000+)发送,接收,完成和事件队列,分散/收集DMA,MSI中断,多个接口,每个接口多个端口,每个端口的传输调度,包括高精度TDMA,流哈希,RSS,校验和卸载以及本机IEEE 1588 PTP时间戳。 包含一个Linux驱动程序,该驱动程序与Linux网络堆栈集成在一起。 广泛的仿真框架可促进开发和调试,该框架涵盖整个系统,从一侧的驱动程序和PCI Express接口的仿真模型到另一侧的以太网接口。 刚玉具有几种独特的建筑特征。 首先,发送,接收,完成和事件队列状态被有效地存储在Block

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明