基于FPGA和DDS的数字调制信号发生器设计与实现

上传者: 38508549 | 上传时间: 2022-11-10 10:48:29 | 文件大小: 1.55MB | 文件类型: PDF
为了提高数字调制信号发生器的频率准确度和稳定度,并使其相关技术参数灵活可调,提出了基于FPGA和DDS技术的数字调制信号发生器设计方法。利用Matlab/Simulink、DSP Builder、QuartusⅡ 3个工具软件,进行基本DDS建模,然后在DDS模块的基础上,通过单片机等电路组成的控制单元的逻辑控制作用,根据通信系统中数字调制方式的基本原理,设计并实现了数字调制信号发生器,从而实现二进制频移键控(2FSK)、二进制相移键控(2PSK)和二进制幅移键控(2ASK)3种基本的二进制数字调制。所得仿真结果表明设计方法的正确性和实用性。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明