LCD1602显示fpga源代码

上传者: wdd1yx | 上传时间: 2022-04-11 22:46:02 | 文件大小: 705KB | 文件类型: RAR
LCD 1602 fpga 源代码 verilog LCD 1602 fpga 源代码 verilog

文件下载

资源详情

[{"title":"( 68 个子文件 705KB ) LCD1602显示fpga源代码","children":[{"title":"LCD1602显示源代码","children":[{"title":"使用说明请参看右侧注释====〉〉.txt <span style='color:#111;'> 774B </span>","children":null,"spread":false},{"title":"LCD1602","children":[{"title":"Src","children":[{"title":"char_ram.vhd <span style='color:#111;'> 5.04KB </span>","children":null,"spread":false},{"title":"lcd.vhd <span style='color:#111;'> 6.55KB </span>","children":null,"spread":false},{"title":"DIV16.v <span style='color:#111;'> 243B </span>","children":null,"spread":false}],"spread":true},{"title":"Proj","children":[{"title":"Proj.pof <span style='color:#111;'> 512.20KB </span>","children":null,"spread":false},{"title":"Proj.qsf <span style='color:#111;'> 2.58KB </span>","children":null,"spread":false},{"title":"LCD_test.bdf <span style='color:#111;'> 7.33KB </span>","children":null,"spread":false},{"title":"Proj.fit.summary <span style='color:#111;'> 441B </span>","children":null,"spread":false},{"title":"Proj_assignment_defaults.qdf <span style='color:#111;'> 34.21KB </span>","children":null,"spread":false},{"title":"Proj.sof <span style='color:#111;'> 274.92KB </span>","children":null,"spread":false},{"title":"db","children":[{"title":"Proj.(3).cnf.hdb <span style='color:#111;'> 672B </span>","children":null,"spread":false},{"title":"Proj.(2).cnf.cdb <span style='color:#111;'> 1.55KB </span>","children":null,"spread":false},{"title":"Proj.(0).cnf.cdb <span style='color:#111;'> 1023B </span>","children":null,"spread":false},{"title":"Proj.(0).cnf.hdb <span style='color:#111;'> 801B </span>","children":null,"spread":false},{"title":"cntr_hd8.tdf <span style='color:#111;'> 4.62KB </span>","children":null,"spread":false},{"title":"Proj_cmp.qrpt <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"Proj.hier_info <span style='color:#111;'> 4.66KB </span>","children":null,"spread":false},{"title":"Proj.(3).cnf.cdb <span style='color:#111;'> 969B </span>","children":null,"spread":false},{"title":"Proj.tan.qmsg <span style='color:#111;'> 72.88KB </span>","children":null,"spread":false},{"title":"Proj.pre_map.hdb <span style='color:#111;'> 9.59KB </span>","children":null,"spread":false},{"title":"Proj.cbx.xml <span style='color:#111;'> 86B </span>","children":null,"spread":false},{"title":"Proj.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"Proj.fit.qmsg <span style='color:#111;'> 13.30KB </span>","children":null,"spread":false},{"title":"Proj.asm.qmsg <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"Proj.map.hdb <span style='color:#111;'> 9.85KB </span>","children":null,"spread":false},{"title":"Proj.rtlv_sg_swap.cdb <span style='color:#111;'> 838B </span>","children":null,"spread":false},{"title":"Proj.sld_design_entry_dsc.sci <span style='color:#111;'> 150B </span>","children":null,"spread":false},{"title":"cntr_ea7.tdf <span style='color:#111;'> 3.96KB </span>","children":null,"spread":false},{"title":"cntr_ed8.tdf <span style='color:#111;'> 3.94KB </span>","children":null,"spread":false},{"title":"Proj.(1).cnf.hdb <span style='color:#111;'> 935B </span>","children":null,"spread":false},{"title":"Proj.sgdiff.cdb <span style='color:#111;'> 9.76KB </span>","children":null,"spread":false},{"title":"Proj.rtlv.hdb <span style='color:#111;'> 9.57KB </span>","children":null,"spread":false},{"title":"Proj.hif <span style='color:#111;'> 1.22KB </span>","children":null,"spread":false},{"title":"Proj.psp <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"Proj.sgdiff.hdb <span style='color:#111;'> 9.68KB </span>","children":null,"spread":false},{"title":"Proj.(2).cnf.hdb <span style='color:#111;'> 450B </span>","children":null,"spread":false},{"title":"Proj.map.qmsg <span style='color:#111;'> 1.31KB </span>","children":null,"spread":false},{"title":"Proj.rtlv_sg.cdb <span style='color:#111;'> 11.39KB </span>","children":null,"spread":false},{"title":"Proj.sld_design_entry.sci <span style='color:#111;'> 150B </span>","children":null,"spread":false},{"title":"Proj.eco.cdb <span style='color:#111;'> 156B </span>","children":null,"spread":false},{"title":"Proj.(1).cnf.cdb <span style='color:#111;'> 12.78KB </span>","children":null,"spread":false},{"title":"Proj.cmp.rdb <span style='color:#111;'> 19.90KB </span>","children":null,"spread":false},{"title":"Proj.db_info <span style='color:#111;'> 151B </span>","children":null,"spread":false},{"title":"Proj.cmp.hdb <span style='color:#111;'> 10.62KB </span>","children":null,"spread":false},{"title":"Proj.cmp0.ddb <span style='color:#111;'> 39.60KB </span>","children":null,"spread":false}],"spread":false},{"title":"Proj.fit.rpt <span style='color:#111;'> 74.52KB </span>","children":null,"spread":false},{"title":"char_ram.vhd <span style='color:#111;'> 5.04KB </span>","children":null,"spread":false},{"title":"lcd.bsf <span style='color:#111;'> 2.52KB </span>","children":null,"spread":false},{"title":"Proj.cdf <span style='color:#111;'> 297B </span>","children":null,"spread":false},{"title":"lcd.vhd <span style='color:#111;'> 6.45KB </span>","children":null,"spread":false},{"title":"Proj.tan.rpt <span style='color:#111;'> 91.31KB </span>","children":null,"spread":false},{"title":"LCD_Test.qws <span style='color:#111;'> 2.01KB </span>","children":null,"spread":false},{"title":"cmp_state.ini <span style='color:#111;'> 2B </span>","children":null,"spread":false},{"title":"Proj.fit.eqn <span style='color:#111;'> 38.01KB </span>","children":null,"spread":false},{"title":"Proj.map.rpt <span style='color:#111;'> 17.49KB </span>","children":null,"spread":false},{"title":"Proj.map.eqn <span style='color:#111;'> 29.35KB </span>","children":null,"spread":false},{"title":"Proj.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"LCD_Test.qpf <span style='color:#111;'> 1.52KB </span>","children":null,"spread":false},{"title":"Proj.asm.rpt <span style='color:#111;'> 7.75KB </span>","children":null,"spread":false},{"title":"Proj.tan.summary <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"div16.bsf <span style='color:#111;'> 2.34KB </span>","children":null,"spread":false},{"title":"DIV16.v <span style='color:#111;'> 243B </span>","children":null,"spread":false},{"title":"Proj.pin <span style='color:#111;'> 29.46KB </span>","children":null,"spread":false},{"title":"Proj.map.summary <span style='color:#111;'> 380B </span>","children":null,"spread":false},{"title":"Proj_description.txt <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"Proj.flow.rpt <span style='color:#111;'> 3.64KB </span>","children":null,"spread":false}],"spread":false},{"title":"使用说明","children":[{"title":"Seiko LCD Instructions.pdf <span style='color:#111;'> 485.57KB </span>","children":null,"spread":false},{"title":"程序说明.txt <span style='color:#111;'> 161B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明