串口通信verilog代码

上传者: nshenwww | 上传时间: 2019-12-21 20:23:55 | 文件大小: 76KB | 文件类型: rar
非常好的描述串口通信的verilog代码,非常全,包括收发双方的代码,还涉及到自定义串口通信指令

文件下载

资源详情

[{"title":"( 20 个子文件 76KB ) 串口通信verilog代码","children":[{"title":"Uart_verilog","children":[{"title":"clk_div.v <span style='color:#111;'> 2.67KB </span>","children":null,"spread":false},{"title":"uart_rx.v <span style='color:#111;'> 2.28KB </span>","children":null,"spread":false},{"title":"clk_gen.v <span style='color:#111;'> 3.38KB </span>","children":null,"spread":false},{"title":"xdc","children":[{"title":"bt_uart_EGo.xdc <span style='color:#111;'> 6.33KB </span>","children":null,"spread":false}],"spread":true},{"title":"to_bcd.v <span style='color:#111;'> 5.64KB </span>","children":null,"spread":false},{"title":"reset_bridge.v <span style='color:#111;'> 2.27KB </span>","children":null,"spread":false},{"title":"uart_tx.v <span style='color:#111;'> 3.06KB </span>","children":null,"spread":false},{"title":"uart_rx_ctl.v <span style='color:#111;'> 8.08KB </span>","children":null,"spread":false},{"title":"lb_ctl.v <span style='color:#111;'> 3.01KB </span>","children":null,"spread":false},{"title":"meta_harden.v <span style='color:#111;'> 1.93KB </span>","children":null,"spread":false},{"title":"resp_gen.v <span style='color:#111;'> 8.55KB </span>","children":null,"spread":false},{"title":"rst_gen.v <span style='color:#111;'> 2.68KB </span>","children":null,"spread":false},{"title":"uart_baud_gen.v <span style='color:#111;'> 4.35KB </span>","children":null,"spread":false},{"title":"clogb2.txt <span style='color:#111;'> 414B </span>","children":null,"spread":false},{"title":"APP","children":[{"title":"EGo1_BT_Tool.apk <span style='color:#111;'> 75.98KB </span>","children":null,"spread":false}],"spread":false},{"title":"bt_uart.v <span style='color:#111;'> 10.25KB </span>","children":null,"spread":false},{"title":"uart_tx_ctl.v <span style='color:#111;'> 7.97KB </span>","children":null,"spread":false},{"title":"cmd_parse.v <span style='color:#111;'> 9.06KB </span>","children":null,"spread":false},{"title":"debouncer.v <span style='color:#111;'> 3.23KB </span>","children":null,"spread":false},{"title":"seg7decimal.v <span style='color:#111;'> 2.52KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明