异步FIFO的VHDL实现

上传者: ngany | 上传时间: 2021-03-05 18:06:17 | 文件大小: 56KB | 文件类型: RAR
--rtl 这是源代码 --sim 这是modelsim仿真目录 已经验证,可以实现异步FIFO 两级寄存器实现读写指针的同步,地址采用格雷码形式防止亚稳态。异步FIFO的源码,个人觉得不易理解,故上传本人最近写的源码,与大家一起分享

文件下载

资源详情

[{"title":"( 11 个子文件 56KB ) 异步FIFO的VHDL实现","children":[{"title":"nut_async_fifo","children":[{"title":"sim","children":[{"title":"nut_async_fifo_tb.mpf <span style='color:#111;'> 94.70KB </span>","children":null,"spread":false},{"title":"vhdl","children":[{"title":"nut_async_fifo_tb.vhd <span style='color:#111;'> 3.33KB </span>","children":null,"spread":false}],"spread":true},{"title":"work","children":[{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_lib1_14.qpg <span style='color:#111;'> 312.00KB </span>","children":null,"spread":false},{"title":"_lib1_14.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_lib1_14.qtl <span style='color:#111;'> 77.10KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 1.88KB </span>","children":null,"spread":false}],"spread":true},{"title":"vsim.wlf <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"nut_async_fifo_tb.cr.mti <span style='color:#111;'> 2.46KB </span>","children":null,"spread":false}],"spread":true},{"title":"par","children":null,"spread":false},{"title":"rtl","children":[{"title":"nut_async_fifo.vhd <span style='color:#111;'> 4.59KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明