systemverilog 的经典例程 可直接执行

上传者: leexurui | 上传时间: 2019-12-21 20:06:33 | 文件大小: 64KB | 文件类型: zip
systemverilog 的经典例程,主要程序来自systemverilog功能验证一书。在modelsim中可直接执行。搞懂这个程序基本上systemverilog算是入门了。

文件下载

资源详情

[{"title":"( 44 个子文件 64KB ) systemverilog 的经典例程 可直接执行","children":[{"title":"arb_if","children":[{"title":"test.sv.bak <span style='color:#111;'> 1.92KB </span>","children":null,"spread":false},{"title":"top.sv.bak <span style='color:#111;'> 763B </span>","children":null,"spread":false},{"title":"arb.sv.bak <span style='color:#111;'> 1.94KB </span>","children":null,"spread":false},{"title":"test.sv <span style='color:#111;'> 2.04KB </span>","children":null,"spread":false},{"title":"arb_if.mpf <span style='color:#111;'> 67.35KB </span>","children":null,"spread":false},{"title":"arb.sv <span style='color:#111;'> 1.53KB </span>","children":null,"spread":false},{"title":"work","children":[{"title":"_vmake <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"arb_if","children":[{"title":"_primary.dat <span style='color:#111;'> 378B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 131B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 2.08KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 8.13KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 334B </span>","children":null,"spread":false}],"spread":true},{"title":"top","children":[{"title":"_primary.dat <span style='color:#111;'> 244B </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 66B </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 470B </span>","children":null,"spread":false}],"spread":true},{"title":"arb","children":[{"title":"_primary.dat <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 205B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 2.07KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 17.34KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 3.43KB </span>","children":null,"spread":false}],"spread":true},{"title":"test","children":[{"title":"_primary.dat <span style='color:#111;'> 1.76KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 68B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 3.35KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 49.51KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 2.31KB </span>","children":null,"spread":false}],"spread":true},{"title":"_temp","children":[{"title":"vlogdzws3k <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false},{"title":"vloge92e12 <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"vlogysnhh9 <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"vlogcbkf44 <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"vloghhfdg5 <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false},{"title":"vlog6ygfvz <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"vlogj868nv <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"vlogfw5eq0 <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false},{"title":"vlog50m0vk <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false},{"title":"vlogetfya5 <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"vlogh432dn <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":"vlogj8cxr1 <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false}],"spread":false},{"title":"_info <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false}],"spread":true},{"title":"arb_if.sv.bak <span style='color:#111;'> 1004B </span>","children":null,"spread":false},{"title":"Makefile <span style='color:#111;'> 347B </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 16.00KB </span>","children":null,"spread":false},{"title":"top.sv <span style='color:#111;'> 340B </span>","children":null,"spread":false},{"title":"arb_if.sv <span style='color:#111;'> 581B </span>","children":null,"spread":false},{"title":"arb_if.cr.mti <span style='color:#111;'> 726B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • chinahhucai :
    比较简单的例子,一个接口定义、一个仿真模型、一个激励产生器。
    2019-03-01
  • cnvidia :
    比较简单的例子,一个接口定义、一个仿真模型、一个激励产生器。
    2019-03-01
  • hlj10540 :
    嗯嗯嗯嗯嗯嗯嗯嗯,还可以
    2018-12-02
  • HLJ10540 :
    嗯嗯嗯嗯嗯嗯嗯嗯,还可以
    2018-12-02

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明