PS2键盘的VHDL程序

上传者: katy0094 | 上传时间: 2021-12-12 18:09:17 | 文件大小: 2KB | 文件类型: -
用VHDL检测扫描PS2键盘的程序,读取键值,并用一个数码管显示出来

文件下载

评论信息

  • ozill :
    程序可用,但是只译码了0-F 不过可以自己结合led灯逸出其它的按键代码
    2015-01-20
  • u010637448 :
    初学者看着挺勉强,没有注释
    2014-07-01
  • lyran0916 :
    不知道是不是DE板子不对,反正我这怎么输入都没反应。 毕竟只是一个TXT,没任何的pin设置,我设置好了后还是没反应
    2014-06-16
  • mrnodody :
    很有参考价值~只是用的板子不一样,但思想差不多
    2012-04-23
  • cqhaotian :
    那个软件中断程序里有点问题,但是可以用,按键是对应的
    2012-03-13

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明