FPGA与PC上的串口调试助手通信(verilogHDL)

上传者: haoqiangqiang | 上传时间: 2021-05-13 16:04:57 | 文件大小: 100KB | 文件类型: RAR
利用串口调试助手是实现pc机和fpga的串口通信功能,程序附注释。

文件下载

资源详情

[{"title":"( 43 个子文件 100KB ) FPGA与PC上的串口调试助手通信(verilogHDL)","children":[{"title":"uart","children":[{"title":"my_uart_top.map.summary <span style='color:#111;'> 464B </span>","children":null,"spread":false},{"title":"my_uart_top.tan.summary <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"my_uart_rx.v.bak <span style='color:#111;'> 3.61KB </span>","children":null,"spread":false},{"title":"my_uart_tx.v <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"my_uart_top.tan.rpt <span style='color:#111;'> 75.27KB </span>","children":null,"spread":false},{"title":"speed_select.v.bak <span style='color:#111;'> 1.94KB </span>","children":null,"spread":false},{"title":"my_uart_top.qpf <span style='color:#111;'> 914B </span>","children":null,"spread":false},{"title":"db","children":[{"title":"my_uart_top_global_asgn_op.abo <span style='color:#111;'> 140.48KB </span>","children":null,"spread":false},{"title":"prev_cmp_my_uart_top.asm.qmsg <span style='color:#111;'> 2.16KB </span>","children":null,"spread":false},{"title":"prev_cmp_my_uart_top.tan.qmsg <span style='color:#111;'> 33.68KB </span>","children":null,"spread":false},{"title":"prev_cmp_my_uart_top.fit.qmsg <span style='color:#111;'> 21.24KB </span>","children":null,"spread":false},{"title":"logic_util_heursitic.dat <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"my_uart_top.db_info <span style='color:#111;'> 137B </span>","children":null,"spread":false},{"title":"prev_cmp_my_uart_top.map.qmsg <span style='color:#111;'> 8.16KB </span>","children":null,"spread":false},{"title":"my_uart_top.sld_design_entry.sci <span style='color:#111;'> 154B </span>","children":null,"spread":false},{"title":"my_uart_top.eco.cdb <span style='color:#111;'> 161B </span>","children":null,"spread":false}],"spread":true},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"my_uart_top.root_partition.map.cdb <span style='color:#111;'> 8.12KB </span>","children":null,"spread":false},{"title":"my_uart_top.root_partition.map.hdb <span style='color:#111;'> 11.46KB </span>","children":null,"spread":false},{"title":"my_uart_top.root_partition.map.dpi <span style='color:#111;'> 885B </span>","children":null,"spread":false},{"title":"my_uart_top.db_info <span style='color:#111;'> 137B </span>","children":null,"spread":false},{"title":"my_uart_top.root_partition.map.kpt <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false}],"spread":true},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"my_uart_top.asm.rpt <span style='color:#111;'> 7.36KB </span>","children":null,"spread":false},{"title":"my_uart_top.fit.summary <span style='color:#111;'> 371B </span>","children":null,"spread":false},{"title":"my_uart_top.cdf <span style='color:#111;'> 331B </span>","children":null,"spread":false},{"title":"my_uart_top_assignment_defaults.qdf <span style='color:#111;'> 39.26KB </span>","children":null,"spread":false},{"title":"my_uart_top.v <span style='color:#111;'> 914B </span>","children":null,"spread":false},{"title":"my_uart_top.qws <span style='color:#111;'> 1.71KB </span>","children":null,"spread":false},{"title":"my_uart_top.map.smsg <span style='color:#111;'> 2.13KB </span>","children":null,"spread":false},{"title":"my_uart_top.pof <span style='color:#111;'> 7.67KB </span>","children":null,"spread":false},{"title":"speed_select.v <span style='color:#111;'> 881B </span>","children":null,"spread":false},{"title":"my_uart_top.v.bak <span style='color:#111;'> 2.02KB </span>","children":null,"spread":false},{"title":"my_uart_top.fit.rpt <span style='color:#111;'> 62.11KB </span>","children":null,"spread":false},{"title":"my_uart_top.pin <span style='color:#111;'> 15.02KB </span>","children":null,"spread":false},{"title":"my_uart_top.jpg <span style='color:#111;'> 42.87KB </span>","children":null,"spread":false},{"title":"my_uart_top.dpf <span style='color:#111;'> 239B </span>","children":null,"spread":false},{"title":"my_uart_top.flow.rpt <span style='color:#111;'> 7.02KB </span>","children":null,"spread":false},{"title":"my_uart_top.map.rpt <span style='color:#111;'> 23.05KB </span>","children":null,"spread":false},{"title":"my_uart_tx.v.bak <span style='color:#111;'> 3.07KB </span>","children":null,"spread":false},{"title":"my_uart_top.qsf <span style='color:#111;'> 1.70KB </span>","children":null,"spread":false},{"title":"my_uart_rx.v <span style='color:#111;'> 1.75KB </span>","children":null,"spread":false},{"title":"my_uart_top.fit.smsg <span style='color:#111;'> 334B </span>","children":null,"spread":false},{"title":"my_uart_top.done <span style='color:#111;'> 26B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • hulalalu :
    很有用 码农割草
    2018-01-30
  • qq_34708541 :
    我以为会有程序的注释,并没有。我以为会有字符串发送的模块,结果只有uart和简单的顶层。程序可以跑,但不是我 想要的。感觉白跑了5分。
    2016-04-18
  • 树洞92 :
    Xilnx 已亲测,可以跑通,但只能发送单字节,多字节发送出错!
    2015-08-29
  • jiazhikun :
    感觉还不错,就是不是我需要的
    2015-07-29
  • yangjie618720 :
    调试程序,不是我需要的啊
    2014-06-22

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明