2N分頻器5分頻器10分頻器分頻器器VHDL逻辑设计源码Quartus工程文件.zip

上传者: guoruibin123 | 上传时间: 2021-08-25 13:04:59 | 文件大小: 746KB | 文件类型: ZIP
2N分頻器5分頻器10分頻器分頻器器VHDL逻辑设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。 ibrary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity clk_8div is port( clk:in std_logic; clk_div2:out std_logic; clk_div4:out std_logic; clk_div8:out std_logic); end clk_8div; architecture rtl of clk_8div is signal counter :std_logic_vector(2 downto 0); begin process(clk) begin if(clk'event and clk='1') then if(counter="111") then counter'0'); else counter<=counter+1; end if; end if; end process; clk_div2<=not counter(0);--ȡ��һλ clk_div4<=not counter(1); clk_div8<=not counter(2); end rtl;

文件下载

资源详情

[{"title":"( 310 个子文件 746KB ) 2N分頻器5分頻器10分頻器分頻器器VHDL逻辑设计源码Quartus工程文件.zip","children":[{"title":"clk_20div.vhd <span style='color:#111;'> 616B </span>","children":null,"spread":false},{"title":"clk_div.sim.rpt <span style='color:#111;'> 21.04KB </span>","children":null,"spread":false},{"title":"clk_div.map.summary <span style='color:#111;'> 458B </span>","children":null,"spread":false},{"title":"clk_div.qpf <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"clk_div.vhd.bak <span style='color:#111;'> 615B </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明