FMC150配置

上传者: gratdan | 上传时间: 2023-03-15 15:56:41 | 文件大小: 81.45MB | 文件类型: 7Z
开发环境是vivado2017.2,硬件描述语言是Verilog。这个文件包含了如何配置FMC150的代码。

文件下载

资源详情

[{"title":"( 1751 个子文件 81.45MB ) FMC150配置","children":[{"title":"webtalk_16128.backup.jou <span style='color:#111;'> 843B </span>","children":null,"spread":false},{"title":"xvhdl.log <span style='color:#111;'> 196B </span>","children":null,"spread":false},{"title":"rom_cdce72010.mif <span style='color:#111;'> 429B </span>","children":null,"spread":false},{"title":"webtalk_15200.backup.log <span style='color:#111;'> 1.23KB </span>","children":null,"spread":false},{"title":"rom_cdcd72010.mif <span style='color:#111;'> 858B </span>","children":null,"spread":false},{"title":"webtalk_12400.backup.log <span style='color:#111;'> 1.34KB </span>","children":null,"spread":false},{"title":"webtalk_4856.backup.jou <span style='color:#111;'> 828B </span>","children":null,"spread":false},{"title":"webtalk_17016.backup.log <span style='color:#111;'> 1.08KB </span>","children":null,"spread":false},{"title":"tb_fmc150_spi_ctrl_behav.wdb <span style='color:#111;'> 3.22MB </span>","children":null,"spread":false},{"title":"cdce72010.coe <span style='color:#111;'> 193B </span>","children":null,"spread":false},{"title":"xsimcrash.log <span style='color:#111;'> 1.42KB </span>","children":null,"spread":false},{"title":"TempBreakPointFile.txt <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"xsim.dbg <span style='color:#111;'> 49.23KB </span>","children":null,"spread":false},{"title":"xsim.xdbg <span style='color:#111;'> 32.86KB </span>","children":null,"spread":false},{"title":"xsimk.exe <span style='color:#111;'> 215.52KB </span>","children":null,"spread":false},{"title":"xsimkernel.log <span style='color:#111;'> 346B </span>","children":null,"spread":false},{"title":"xsim.type <span style='color:#111;'> 24B </span>","children":null,"spread":false},{"title":"xsim.mem <span style='color:#111;'> 11.94KB </span>","children":null,"spread":false},{"title":"xsim.rtti <span style='color:#111;'> 311B </span>","children":null,"spread":false},{"title":"xsimSettings.ini <span style='color:#111;'> 739B </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.html <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.xml <span style='color:#111;'> 2.78KB </span>","children":null,"spread":false},{"title":".xsim_webtallk.info <span style='color:#111;'> 64B </span>","children":null,"spread":false},{"title":"Compile_Options.txt <span style='color:#111;'> 298B </span>","children":null,"spread":false},{"title":"xsim.svtype <span style='color:#111;'> 89B </span>","children":null,"spread":false},{"title":"xsim.reloc <span style='color:#111;'> 8.15KB </span>","children":null,"spread":false},{"title":"rom_ads62p49.sdb <span style='color:#111;'> 2.42KB </span>","children":null,"spread":false},{"title":"rom_cdcd72010.sdb <span style='color:#111;'> 2.40KB </span>","children":null,"spread":false},{"title":"rom_cdce72010.sdb <span style='color:#111;'> 2.42KB </span>","children":null,"spread":false},{"title":"ila_1.sdb <span style='color:#111;'> 1.50KB </span>","children":null,"spread":false},{"title":"rom_dac3283.sdb <span style='color:#111;'> 2.41KB </span>","children":null,"spread":false},{"title":"clk_wiz_1.sdb <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"sin_test.vdb <span style='color:#111;'> 18.52KB </span>","children":null,"spread":false},{"title":"cdce72010.sdb <span style='color:#111;'> 9.51KB </span>","children":null,"spread":false},{"title":"tb_fmc150_spi_ctrl.sdb <span style='color:#111;'> 1.39KB </span>","children":null,"spread":false},{"title":"clk_wiz_1_clk_wiz.sdb <span style='color:#111;'> 4.62KB </span>","children":null,"spread":false},{"title":"tb_cdce72010.sdb <span style='color:#111;'> 1.33KB </span>","children":null,"spread":false},{"title":"xil_defaultlib.rlx <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false},{"title":"modulation_16@q@a@m.sdb <span style='color:#111;'> 3.88KB </span>","children":null,"spread":false},{"title":"glbl.sdb <span style='color:#111;'> 3.63KB </span>","children":null,"spread":false},{"title":"tb_modulation_16@q@a@m.sdb <span style='color:#111;'> 1.26KB </span>","children":null,"spread":false},{"title":"fmc150_spi_ctrl.sdb <span style='color:#111;'> 19.34KB </span>","children":null,"spread":false},{"title":"xsimcrash.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"TempBreakPointFile.txt <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"xsim.dbg <span style='color:#111;'> 24.08KB </span>","children":null,"spread":false},{"title":"xsim.xdbg <span style='color:#111;'> 16.09KB </span>","children":null,"spread":false},{"title":"xsimk.exe <span style='color:#111;'> 122.83KB </span>","children":null,"spread":false},{"title":"xsimkernel.log <span style='color:#111;'> 335B </span>","children":null,"spread":false},{"title":"xsim.type <span style='color:#111;'> 24B </span>","children":null,"spread":false},{"title":"xsim.mem <span style='color:#111;'> 6.49KB </span>","children":null,"spread":false},{"title":"xsim.rtti <span style='color:#111;'> 311B </span>","children":null,"spread":false},{"title":"xsimSettings.ini <span style='color:#111;'> 743B </span>","children":null,"spread":false},{"title":"xsim_webtalk.tcl <span style='color:#111;'> 3.59KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.html <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.wdm <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.xml <span style='color:#111;'> 2.78KB </span>","children":null,"spread":false},{"title":".xsim_webtallk.info <span style='color:#111;'> 64B </span>","children":null,"spread":false},{"title":"Compile_Options.txt <span style='color:#111;'> 286B </span>","children":null,"spread":false},{"title":"xsim.svtype <span style='color:#111;'> 89B </span>","children":null,"spread":false},{"title":"xsim.reloc <span style='color:#111;'> 4.06KB </span>","children":null,"spread":false},{"title":"xsim.svtype <span style='color:#111;'> 8B </span>","children":null,"spread":false},{"title":"xsimcrash.log <span style='color:#111;'> 1.50KB </span>","children":null,"spread":false},{"title":"TempBreakPointFile.txt <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"xsim.dbg <span style='color:#111;'> 13.80KB </span>","children":null,"spread":false},{"title":"xsim.xdbg <span style='color:#111;'> 4.59KB </span>","children":null,"spread":false},{"title":"xsimk.exe <span style='color:#111;'> 890.73KB </span>","children":null,"spread":false},{"title":"xsimkernel.log <span style='color:#111;'> 350B </span>","children":null,"spread":false},{"title":"xsim.type <span style='color:#111;'> 11.10KB </span>","children":null,"spread":false},{"title":"xsim.mem <span style='color:#111;'> 446.88KB </span>","children":null,"spread":false},{"title":"xsim.rtti <span style='color:#111;'> 744B </span>","children":null,"spread":false},{"title":"xsimSettings.ini <span style='color:#111;'> 739B </span>","children":null,"spread":false},{"title":"xsim_webtalk.tcl <span style='color:#111;'> 3.69KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.html <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.wdm <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.xml <span style='color:#111;'> 2.78KB </span>","children":null,"spread":false},{"title":".xsim_webtallk.info <span style='color:#111;'> 64B </span>","children":null,"spread":false},{"title":"Compile_Options.txt <span style='color:#111;'> 507B </span>","children":null,"spread":false},{"title":"xsim.svtype <span style='color:#111;'> 116B </span>","children":null,"spread":false},{"title":"xsim.reloc <span style='color:#111;'> 32.13KB </span>","children":null,"spread":false},{"title":"xvlog.log <span style='color:#111;'> 1.18KB </span>","children":null,"spread":false},{"title":"dac3283.coe <span style='color:#111;'> 255B </span>","children":null,"spread":false},{"title":"ads62p49.coe <span style='color:#111;'> 177B </span>","children":null,"spread":false},{"title":"xvlog.pb <span style='color:#111;'> 2.03KB </span>","children":null,"spread":false},{"title":"rom_dac3283.mif <span style='color:#111;'> 544B </span>","children":null,"spread":false},{"title":"tb_cdce72010_vlog.prj <span style='color:#111;'> 728B </span>","children":null,"spread":false},{"title":"webtalk.jou <span style='color:#111;'> 840B </span>","children":null,"spread":false},{"title":"simulate.bat <span style='color:#111;'> 309B </span>","children":null,"spread":false},{"title":"webtalk_12400.backup.jou <span style='color:#111;'> 933B </span>","children":null,"spread":false},{"title":"compile.log <span style='color:#111;'> 1.18KB </span>","children":null,"spread":false},{"title":"xsim.ini <span style='color:#111;'> 16.46KB </span>","children":null,"spread":false},{"title":"tb_modulation_16QAM_vlog.prj <span style='color:#111;'> 982B </span>","children":null,"spread":false},{"title":"webtalk_15200.backup.jou <span style='color:#111;'> 841B </span>","children":null,"spread":false},{"title":"webtalk_16128.backup.log <span style='color:#111;'> 1.23KB </span>","children":null,"spread":false},{"title":"elaborate.bat <span style='color:#111;'> 456B </span>","children":null,"spread":false},{"title":"glbl.v <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false},{"title":"webtalk_4856.backup.log <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false},{"title":"tb_cdce72010_behav.wdb <span style='color:#111;'> 1.84MB </span>","children":null,"spread":false},{"title":"tb_fmc150_spi_ctrl.tcl <span style='color:#111;'> 460B </span>","children":null,"spread":false},{"title":"tb_modulation_16QAM_behav.wdb <span style='color:#111;'> 24.63MB </span>","children":null,"spread":false},{"title":"elaborate.log <span style='color:#111;'> 1.14KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明