用VHDL语言设计交通灯控制电路

上传者: chenlu152002 | 上传时间: 2019-12-21 19:33:24 | 文件大小: 357KB | 文件类型: doc
本课程设计主要在实验板上构造一个交通灯控制电路,能够控制十字路口的交通灯显示,用红黄绿三种灯型指示交通的程序设计。在课程设计中,系统开发平台为MAX+PLUS II,程序设计输入方法为VHDL的文本输入法设计,程序运行平台为Windows 98/2000/XP。在整个课程设计中运用了状态转移表、状态转移图、系统框图以及电路顶层图来确定程序设计思路,根据交通灯控制逻辑完成程序的设计。程序通过调试能够运行,仿真结果符合程序要实现的功能,下载到EDA实验箱初步实现了设计目标,并且经过适当完善后,可以应用到实际问题中。

文件下载

评论信息

  • 你当我是浮夸吧啊 :
    真的是优秀
    2017-11-29
  • 畔临英雄 :
    看懂了,但编译不了啊!还是我自己改的!
    2014-03-24
  • qq446065469 :
    很有借鉴的价值,不错的分享。
    2013-07-05
  • 木乔 :
    这个可惜下载了我不能用,有木有maxplus的哇
    2013-06-22
  • cxjrain :
    没有编译通过,但是可以看出整体思想,还是很不错的。
    2012-08-01

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明