Verilog实现32位加法器(内含设计代码和测试代码)

上传者: HePingxxb | 上传时间: 2023-03-13 12:29:59 | 文件大小: 64KB | 文件类型: RAR
本项目实现的是32位加法器,实现思路为连接4个8位加法器,已通过vivado Simulation。 使用语言:Verilog 使用软件:vivado 本项目包含: 1、vivado项目文件adder_32.xpr 2、readme.txt 3、vivado自动生成的文档(含设计代码和测试代码)

文件下载

资源详情

[{"title":"( 63 个子文件 64KB ) Verilog实现32位加法器(内含设计代码和测试代码)","children":[{"title":"adder_32","children":[{"title":"adder_32.srcs","children":[{"title":"sim_1","children":[{"title":"new","children":[{"title":"adder_32_tb.v <span style='color:#111;'> 434B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"sources_1","children":[{"title":"new","children":[{"title":"adder_8.v <span style='color:#111;'> 362B </span>","children":null,"spread":false},{"title":"adder_4.v <span style='color:#111;'> 236B </span>","children":null,"spread":false},{"title":"adder_32.v <span style='color:#111;'> 571B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"adder_32_tb.wcfg <span style='color:#111;'> 2.79KB </span>","children":null,"spread":false},{"title":"adder_32.hw","children":[{"title":"adder_32.lpr <span style='color:#111;'> 290B </span>","children":null,"spread":false}],"spread":true},{"title":"adder_32.ip_user_files","children":[{"title":"README.txt <span style='color:#111;'> 130B </span>","children":null,"spread":false}],"spread":true},{"title":"adder_32.cache","children":[{"title":"compile_simlib","children":[{"title":"riviera","children":null,"spread":false},{"title":"vcs","children":null,"spread":false},{"title":"modelsim","children":null,"spread":false},{"title":"xcelium","children":null,"spread":false},{"title":"ies","children":null,"spread":false},{"title":"activehdl","children":null,"spread":false},{"title":"questa","children":null,"spread":false}],"spread":true},{"title":"wt","children":[{"title":"xsim.wdf <span style='color:#111;'> 256B </span>","children":null,"spread":false},{"title":"java_command_handlers.wdf <span style='color:#111;'> 1.14KB </span>","children":null,"spread":false},{"title":"webtalk_pa.xml <span style='color:#111;'> 4.55KB </span>","children":null,"spread":false},{"title":"project.wpc <span style='color:#111;'> 61B </span>","children":null,"spread":false},{"title":"gui_handlers.wdf <span style='color:#111;'> 4.31KB </span>","children":null,"spread":false},{"title":"synthesis.wdf <span style='color:#111;'> 4.97KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"adder_32.xpr <span style='color:#111;'> 9.38KB </span>","children":null,"spread":false},{"title":"adder_32.sim","children":[{"title":"sim_1","children":[{"title":"behav","children":[{"title":"xsim","children":[{"title":"webtalk_2440.backup.jou <span style='color:#111;'> 801B </span>","children":null,"spread":false},{"title":"compile.bat <span style='color:#111;'> 840B </span>","children":null,"spread":false},{"title":"xsim.ini <span style='color:#111;'> 40B </span>","children":null,"spread":false},{"title":"elaborate.bat <span style='color:#111;'> 1.14KB </span>","children":null,"spread":false},{"title":"glbl.v <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false},{"title":"xvlog.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"simulate.log <span style='color:#111;'> 50B </span>","children":null,"spread":false},{"title":"elaborate.log <span style='color:#111;'> 595B </span>","children":null,"spread":false},{"title":"compile.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"webtalk.jou <span style='color:#111;'> 802B </span>","children":null,"spread":false},{"title":"adder_32_tb.tcl <span style='color:#111;'> 460B </span>","children":null,"spread":false},{"title":".Xil","children":[{"title":"Webtalk-5236-LAPTOP-T5PKU205","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false},{"title":"Webtalk-2440-LAPTOP-T5PKU205","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false},{"title":"Webtalk-27376-LAPTOP-T5PKU205","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"xvlog.pb <span style='color:#111;'> 16B </span>","children":null,"spread":false},{"title":"adder_32_tb_behav.wdb <span style='color:#111;'> 15.00KB </span>","children":null,"spread":false},{"title":"webtalk_5236.backup.log <span style='color:#111;'> 1.18KB </span>","children":null,"spread":false},{"title":"webtalk.log <span style='color:#111;'> 1.18KB </span>","children":null,"spread":false},{"title":"xelab.pb <span style='color:#111;'> 1.01KB </span>","children":null,"spread":false},{"title":"simulate.bat <span style='color:#111;'> 1.01KB </span>","children":null,"spread":false},{"title":"webtalk_2440.backup.log <span style='color:#111;'> 1.18KB </span>","children":null,"spread":false},{"title":"webtalk_5236.backup.jou <span style='color:#111;'> 801B </span>","children":null,"spread":false},{"title":"xsim.dir","children":[{"title":"adder_32_tb_behav","children":[{"title":"xsimk.exe <span style='color:#111;'> 67.04KB </span>","children":null,"spread":false},{"title":"xsim.rlx <span style='color:#111;'> 784B </span>","children":null,"spread":false},{"title":"obj","children":[{"title":"xsim_1.win64.obj <span style='color:#111;'> 2.98KB </span>","children":null,"spread":false},{"title":"xsim_1.c <span style='color:#111;'> 4.82KB </span>","children":null,"spread":false},{"title":"xsim_0.win64.obj <span style='color:#111;'> 7.36KB </span>","children":null,"spread":false}],"spread":false},{"title":"xsim.mem <span style='color:#111;'> 4.32KB </span>","children":null,"spread":false},{"title":"xsim.type <span style='color:#111;'> 24B </span>","children":null,"spread":false},{"title":"xsimkernel.log <span style='color:#111;'> 330B </span>","children":null,"spread":false},{"title":"xsim.xdbg <span style='color:#111;'> 6.99KB </span>","children":null,"spread":false},{"title":"xsim.dbg <span style='color:#111;'> 10.59KB </span>","children":null,"spread":false},{"title":"Compile_Options.txt <span style='color:#111;'> 256B </span>","children":null,"spread":false},{"title":"xsim.rtti <span style='color:#111;'> 254B </span>","children":null,"spread":false},{"title":"webtalk","children":[{"title":"xsim_webtalk.tcl <span style='color:#111;'> 3.57KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.wdm <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":".xsim_webtallk.info <span style='color:#111;'> 65B </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.html <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.xml <span style='color:#111;'> 2.78KB </span>","children":null,"spread":false}],"spread":false},{"title":"TempBreakPointFile.txt <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"xsim.svtype <span style='color:#111;'> 66B </span>","children":null,"spread":false},{"title":"xsim.reloc <span style='color:#111;'> 2.14KB </span>","children":null,"spread":false},{"title":"xsimSettings.ini <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"xsimcrash.log <span style='color:#111;'> 0B </span>","children":null,"spread":false}],"spread":false},{"title":"xil_defaultlib","children":[{"title":"adder_8.sdb <span style='color:#111;'> 1.58KB </span>","children":null,"spread":false},{"title":"adder_32.sdb <span style='color:#111;'> 2.31KB </span>","children":null,"spread":false},{"title":"xil_defaultlib.rlx <span style='color:#111;'> 670B </span>","children":null,"spread":false},{"title":"adder_4.sdb <span style='color:#111;'> 878B </span>","children":null,"spread":false},{"title":"adder_32_tb.sdb <span style='color:#111;'> 1.74KB </span>","children":null,"spread":false},{"title":"glbl.sdb <span style='color:#111;'> 3.61KB </span>","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"adder_32_tb_vlog.prj <span style='color:#111;'> 399B </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"readme.txt <span style='color:#111;'> 248B </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明