FPGA 读写rtc_ds1302实时时钟的Verilog逻辑源码Quartus工程文件+文档说明.zip

上传者: GJZGRB | 上传时间: 2021-04-12 20:03:51 | 文件大小: 4.50MB | 文件类型: ZIP
FPGA 读写rtc_ds1302实时时钟的Verilog逻辑源码Quartus工程文件+文档说明,RTC芯片型号ds1302,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。 module top( //sys input clk, input rst_n, output rtc_sclk, output rtc_ce, inout rtc_data, output [5:0] seg_sel, output [7:0] seg_data ); wire[7:0] read_second; wire[7:0] read_minute; wire[7:0] read_hour; wire[7:0] read_date; wire[7:0] read_month; wire[7:0] read_week; wire[7:0] read_year; seg_bcd seg_bcd_m0( .clk (clk), .rst_n (rst_n), .seg_sel (seg_sel), .seg_data (seg_data), .seg_bcd ({read_hour,read_minute,read_second}) ); ds1302_test ds1302_test_m0( .rst (~rst_n), .clk (clk), .ds1302_ce (rtc_ce), .ds1302_sclk (rtc_sclk), .ds1302_io (rtc_data), .read_second (read_second), .read_minute (read_minute), .read_hour (read_hour), .read_date (read_date), .read_month (read_month), .read_week (read_week), .read_year (read_year) ); endmodule

文件下载

资源详情

[{"title":"( 121 个子文件 4.50MB ) FPGA 读写rtc_ds1302实时时钟的Verilog逻辑源码Quartus工程文件+文档说明.zip","children":[{"title":"top.qsf <span style='color:#111;'> 4.36KB </span>","children":null,"spread":false},{"title":"top_assignment_defaults.qdf <span style='color:#111;'> 54.32KB </span>","children":null,"spread":false},{"title":"assignment_defaults.qdf <span style='color:#111;'> 60.25KB </span>","children":null,"spread":false},{"title":"top.(6).cnf.cdb <span style='color:#111;'> 8.55KB </span>","children":null,"spread":false},{"title":"top.cmp.logdb <span style='color:#111;'> 13.69KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明