只为小站
首页
域名查询
文件下载
登录
基于cyclone2 fpga任意波形发生器VHDL设计QUARTUS工程文件+
文档说明
.zip
基于cyclone2 fpga的任意波形发生器VHDL设计QUARTUS工程文件+
文档说明
: library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity DDS_top is port ( clk:in std_logic; --内部时钟 reset:in std_logic; --复位信号 key5 sclk:out std_logic; --TLC5615 sclk时钟脚 din:out std_logic; --TLC5615 din数据脚 cs:out std_logic; --TLC5615 cs片选 set_waveform_key_in:in std_logic; --波形设置按键 key1 set_f_key_in:in std_logic; --频率设置按键 key2 set_a_key_in:in std_logic; --幅值设置按键 key3 set_p_key_in:in std_logic; --相位设置按键 key4 sin_data:out std_logic_vector(9 downto 0) --输出的波形数据,用于测试 ); end DDS_top; architecture behave of DDS_top is signal set_waveform_line:std_logic_vector(1 downto 0); signal f_control_line:std_logic_vector(20 downto 0); signal a_control_line:std_logic_vector(3 downto 0); signal p_control_line:std_logic_vector(9 downto 0); signal dds_data_out_temp:std_logic_vector(9 downto 0); signal set_waveform_key:std_logic; signal set_f_key:std_logic; signal set_a_key:std_logic; signal set_p_key:std_logic; --DDs模块 component DDS is port( clk:in std_logic;--时钟输入 dds_data_out:out std_logic_vector(9 downto 0);--DDS数据输出 set_waveform:in std_logic_vector(1 downto 0);--设置输出的波形 set_f:in std_logic_vector(20 downto 0);--设置频率 set_a:in std_logic_vector(3 downto 0);--设置幅值 set_p:in std_logic_vector(9 downto 0)--设置频率 ); end component; -- DAC驱动模块 component TLC5615 is port( CLK:IN STD_LOGIC; SCLK:OUT STD_LOGIC; DIN:OUT STD_LOGIC; CS:OUT STD_LOGIC; DATA_IN:IN STD_LOGIC_VECTOR(9 DOWNTO 0) ); end component; -- 按键消抖模块 component key is port( clk:in std_logic; key:in std_logic; key_out:out std_logic ); end component; -- 按键编码模块 component key_coding i
2021-11-24 20:04:33
13.48MB
cyclone2fpga
fpga任意波形发生器
VHDL设计QUARTUS工程
波形发生器VHDL设计
Java实现的聊天室包括源代码,jar文件和详细
文档说明
Java实现的聊天室包括源代码,jar文件和详细
文档说明
, 主要包含三个包server, client 和Util, 其中应用了多线程, XMl等技术, 代码简洁明了!
2021-11-23 19:12:46
760KB
Java
聊天室
XML
多线程
1
基于imx6ul评估板,实现BT/WIFI驱动源码+
文档说明
等-电路方案
功能设定: 针对i.MX6UL,编写Freescale Yocto Linux 系统下的 dual –mode WIFI/BT芯片driver(推荐使用Realtek RTL8723BS,也可以选择其它的dual-mode 芯片); 采用SDIO接口与i.MX6UL连接.; 设备: i.MX6UL 开发板 Marvell 88W8787模块 准备工作: 接上电源线和串口线,串口在linux下被识别为/dev/ttyUSBx,可通过串口软件(例如minicom)打开串口,串口设置为波特率为115200,8位,无校验,1位停止位,无硬件控制流。 将模块插入到SD卡座后上电,等待系统正常启动。 等待进入登录界面时,输入root登录 系统将自动加载Marvell 88W8787的驱动模块 WIFI功能配置与使用: 通过iwconfig命令可以看到识别到的设备mlan0,此时还未关联到无线路由。 参照/etc/wpa_supplicant.conf,添加一个无线路由的配置,例如我的无线路由采用WPA-PSK认证,可添加如下配置 # Only WPA-PSK is used. Any validcipher combination is accepted. network={ ssid="你的网络名称,此处需要修改" proto=WPA key_mgmt=WPA-PSK pairwise=CCMP TKIP group=CCMP TKIP WEP104WEP40 psk="你的WIFI密码,此处需要对应修改" priority=2 } 执行 wpa_supplicant -i mlan0-c /etc/wpa_supplicant.conf & 等待关联成功,连接成功之后,通过udhcpc命令获取地址,并测试一下ping外网是否可通。 蓝牙功能配置与操作: 通过命令hciconfighci0 up,使能之后就可以使用蓝牙设备。 启动蓝牙设备服务进程 /usr/lib/bluez5/bluetooth/bluetoothd & 通过hcitool扫描附近的设备,此时要把手机蓝牙打开 记住扫描的设备的设备地址,可以通过l2ping做一个测试 通过rfcomm,创建一个连接到手机蓝牙的通道 mknod/dev/rfcomm0 c 216 0 chmod 666/dev/rfcomm0 6、连接到扫描到的手机 rfcomm connect/dev/rfcomm0 xx:xx:xx:xx:xx:xx [channel] channel可选,可以通过sdptool browse xx:xx:xx:xx:xx:xx来查询手机蓝牙支持的服务及它的channel 可以找到服务名为 “Headset Audio Gateway”并看到它的通道为1,然后连接手机的该功能 rfcomm connect/dev/rfcomm0 xx:xx:xx:xx:xx:xx 1 & 在手机端确认下配对,即可显示连上电话音频。 7、minicom打开该通道,通过AT指令来控制手机接打电话等功能 minicom -D/dev/rfcomm0 然后输入拨打电话的AT指令 ATD10086 即可拨打电话10086 视频演示:
2021-11-23 14:14:11
1.05MB
wifi驱动源码
wifi驱动
电路方案
1
原生版本仿微信即时通讯社交社区聊天APP源码开源 带PC客户端+
文档说明
.zip
原生版本仿微信即时通讯社交社区聊天APP源码开源 带PC客户端+
文档说明
,架设有的难度。 此源码仅用于源码学习使用。切勿用于非法用途,产生的一切后果于本人无关!
2021-11-22 13:00:45
118.59MB
仿微信
即时通讯
java原生
im
1
航空公司管理系统(vb+sql)有代码和
文档说明
可以做毕业设计,有代码和
文档说明
。。。。。。。。。。。。。。。
2021-11-18 22:25:24
494KB
管理系统
1
ykx 软件记录日常开发过程的问题
文档说明
2021-11-15 14:00:15
2.61MB
文档说明
1
c#连接oracle方法 本地无需安装oracle 内有
文档说明
Oracle.ManagedDataAccess.dll
c#连接oracle方法 本地无需安装oracle 内有
文档说明
Oracle.ManagedDataAccess.dll
2021-11-04 17:22:38
2.63MB
OMDA
Oracle.ManagedD
连接oracledll
无本地oracle连oracle
1
扩频通信的matlab源程序-扩频通信的matlab源程序,里面有
文档说明
.rar
扩频通信的matlab源程序-扩频通信的matlab源程序,里面有
文档说明
.rar 扩频通信的matlab源程序,里面有
文档说明
2021-10-31 21:06:28
75KB
matlab
1
Java坦克大战源码(附需求
文档说明
)
Java坦克大战源码(附需求
文档说明
),课程设计的赶紧下载!
2021-10-31 15:20:05
1.44MB
Java源码
1
ZeroMQ中文说明文档带目录
ZeroMQ的中文说明文档,使用指导文档。带目录,目录可以点击!
2021-10-23 19:39:40
4.09MB
ZeroMQ
中文文档
说明文档
1
个人信息
点我去登录
购买积分
下载历史
恢复订单
热门下载
基于javaweb的网上购物系统(毕业论文+答辩PPT+开题报告+源代码)
MPC 模型预测控制matlab仿真程序
基于MQ2烟雾传感器的STM32F103程序
倒立摆的模糊控制(基于simulink仿真,适合初学者).rar
基于S函数的BP神经网络PID控制器及Simulink仿真和对应代码模型.zip
Elsevier爱思唯尔的word模板.zip
EasyMedia-ui.zip
云视通扫描工具.zip
BP_PID控制仿真.rar
基于Servlet+jsp+mysql开发javaWeb学生成绩管理系统
机械臂避障路径规划仿真 蚁群算法 三维路径规划
elsevier 爱思唯尔 系列期刊的word模板,template,单栏,双栏
MTALAB NSGA2算法
OLED显示温度和时间-STM32F103C8T6(完整程序工程+原理图+相关资料).zip
基于OpenCV的车牌号码识别的Python代码(可直接运行)
最新下载
NTAG213_215_216.pdf
广数980tdb数控车最新标准梯形图
utdMQTT-client for D7
hoic(HighOrbitIonCannon)
Lidar Range-Resolved Optical Remote Sensing of the Atmosphere-ChenHao
用S7-200smart编的CRC校验子程序
二维码编码生成c语言实现
Transportation Research Part C: Emerging Technologies期刊投稿模板tex
winbugs操作指南(中文)
谷歌拼音输入法二百万大词库.dic
其他资源
百度街景调用示例
会说话的Tom猫 anroid源码
stm32实现485通信
旅客选座位东航.zip
航空管理系统源代码c++
STM32+gps定位
基于DSP 28M35的串口SCI软件在线升级IAP方法
Spring @Scheduled定时任务动态修改cron参数
Cruise基础培训教程
SYN flood攻击
Qt 复制文件 实现进度条显示
cs5532调试文档
Java实现MD5大文件校验码详解
Object Recognition
Autumn主题3.0
js-drumkit.zip
GECOOS_AXR3100_IPQ40XX_6.3_2020122100.bin
基于80x86的电机PID控制 汇编代码
AdressBook.zip
学生成绩管理系统 附带数据库文件 三层架构
C# 编写一个简单的验证用户和密码的登录窗口
JAVA SWING可折叠菜单
dropdownlist+autuocomplete小实例