FPGA_产生频率相位可调的波形,可切换正弦和方波 ----------------------------------------------------------
2019-12-21 21:24:26 6.87MB ax301 fpga
1
基于dds信号发生器的毕业设计 论文 包括原理图pcb。需要的人参考下
2019-12-21 21:20:01 6.9MB dds
1
基于xilinx公司的FPGA,设计了一套DDS信号发生器,产生正弦波 方波 三角波 锯齿波四种波形,并且波形频率可调
2019-12-21 21:16:00 5.54MB FPGA DDS VHDL xilinx
1
基于AD9850的信号发生器的设计,DDS信号发生器 单片机 89C51
2019-12-21 21:11:14 127KB DDS信号发生器 单片机 89C51
1
1. 信号发生器在同一端口能产生正弦波、锯齿波、方波、和三角四种周期性波形。 2. 输出频率范围10Hz~10MHz。 3. 实现输出信号频率和幅度可调节。 1.根据设计要求,合理选择系统所需的外设组件,并完成相应电路的设计;能够完成各模块的状态转换的分析; 2.根据设计要求,确定系统架构并利用硬件描述语言设计各个功能模块; 3.利用Modelsim完成各个功能模块的仿真; 4. 完成系统联调,下板并给出系统调试的结果。
2019-12-21 20:42:37 8.65MB DDS 信号发生器 FPGA
1
产生的信号可以是正弦波或方波、三角波、锯齿波;可以用SignalTap逻辑分析。可以用Model Sim仿真。全部打包在文件中。工程适用版本为Quartus II 13.0,不可低于该版本。 原理:采用DDS技术,将所需生成的波形写入ROM中,按照相位累加原理合成任意波形。 此方案得到的波形稳定,精度高,产生波形频率范围大,容易产生高频。 本实验在设计的模块中,包含以下功能: (1)通过 freq 信号输入需要的频率的值; (2)通过 wave_sel 信号选择所需的波形; (3)通过 amp_adj 信号选择波形放大的倍数。 在该设计中,包含 3 个模块: 频率控制器,根据输入的频率值输出步进值 step_val 。 相位累加器,根据步进值 step_val 控制对应地址的变化。 波形放大器,对 rom 输出的数据进行放大。
2019-12-21 20:30:58 10.14MB Quartus dds 信号发生器
1
基于verilog的信号发生器,基于数字直接调频(DDS)技术,内含源代码
2019-12-21 20:13:46 282KB verilog dds 信号发生器
1
完整的DDS工程文件,平台是Quartus13.0,包含modelsim仿真文件,文档里含有代码,适合初学DDS用户使用
2019-12-21 19:54:58 3.25MB DD;Verilog
1
完整的工程文档,平台是QuartusⅡ13.0,完整的DDS代码,包含四种波形输出,打开应用编程即可实现,亲尝试
2019-12-21 19:54:58 411KB Quartus;DDS
1
设计一个系统:串口接收频率、相位控制字,控制的DAC输出波形(正弦波、三角波、锯齿波、方波、直流)设计中取DAC输出时钟为50MHz,波形存储深度为512点(取信号的一个周期),用matlab生成mif格式的文件分别存储正弦波、方波、三角波、锯齿波的数据。含testbench,已在开发板上验证。
2019-12-21 19:45:28 491KB FPGA DDS uart Quartus
1