FPGA控制PS2键盘verilog设计Quartus9.1工程源码+设计说明文件,可以做为你的学习设计参考。 1. 这个实例通过开发板上面的PS/2接口接收键盘输入的数据,在LCD上面显示出来; 2. 工程在project文件夹里面,打开工程; 3. 源文件在rtl文件夹里面; module top(clk_in, data, lcd_e, lcd_rs, lcd_rw, led, ps2ck, ps2dk); input clk_in; output [7:0] data; output lcd_e; output lcd_rs; output lcd_rw; output [7:0] led; inout ps2ck; inout ps2dk; wire XLXN_4; wire XLXN_5; wire XLXN_6; reg clk; assign rst = 1'b1; always@(posedge clk_in) clk <= ~clk; div_256 XLXI_1 (.mclk(clk), .reset(rst), .clk(XLXN_6)); div16 XLXI_2 (.clk(clk), .rst(rst), .clk_16(XLXN_5)); lcd XLXI_3 (.clk(XLXN_5), .data_in(led[7:0]), .rst(XLXN_4), .data(data[7:0]), .lcd_e(lcd_e), .lcd_rs(lcd_rs), .lcd_rw(lcd_rw)); ps2_keyboard_interface XLXI_4 (.clk(XLXN_6), .reset(rst), .rx_read(), .tx_data(), .tx_write(), .rx_ascii(led[7:0]), .rx_data_ready(), .rx_extended(), .rx_released(XLXN_4), .rx_scan_code(), .rx_shift_key_on(), .tx_error_no_keyboard_ack(), .tx_write_ack_o(), .ps2_clk(ps2ck), .ps2_data(ps2dk)); endmodule
ps2键盘输入UART串口输出实验cylone4e FPGA(EP4CE6)Verilog例程quartus11.0工程源码,可以做为你的学习设计参考。 /*FPGA通过ps2接收键盘数据,然后把接收到的字母A到Z键值转换相应的ASII码,通过串口发送到PC机上。 实验时,需要接键盘,还要用调试助手,下载程序后,在键盘上按下一个键,比如A,则在PC调试助手上可看到A */ `timescale 1ns / 1ps module ps2_key(clk,rst_n,ps2k_clk,ps2k_data,rs232_tx); input clk; //50M时钟信号 input rst_n; //复位信号 input ps2k_clk; //PS2接口时钟信号 input ps2k_data; //PS2接口数据信号 output rs232_tx; // RS232发送数据信号 wire[7:0] ps2_byte; // 1byte键值 wire ps2_state; //按键状态标志位 wire bps_start; //接收到数据后,波特率时钟启动信号置位 wire clk_bps; // clk_bps的高电平为接收或者发送数据位的中间采样点 ps2scan ps2scan( .clk(clk), //按键扫描模块 .rst_n(rst_n), .ps2k_clk(ps2k_clk), .ps2k_data(ps2k_data), .ps2_byte(ps2_byte), .ps2_state(ps2_state) ); speed_select speed_select( .clk(clk), .rst_n(rst_n), .bps_start(bps_start), .clk_bps(clk_bps) ); my_uart_tx my_uart_tx( .clk(clk), .rst_n(rst_n), .clk_bps(clk_bps), .rx_data(ps2_byte), .rx_int(ps2_state), .rs232_tx(rs232_tx), .bps_start(bps_start) ); endmodule
基于ISE平台的VHDL语言贪食蛇设计,实现VGA显示,键盘控制!
2021-11-29 23:38:35 1.52MB VHDL VGA PS2
1
主要介绍了当前主流的几种USB转PS2的芯片方案,喜欢的朋友可以自行下载
2021-11-23 09:07:08 952KB USB转PS2 PS2设计
1
【摘 要】利用现场可编程逻辑器件 FPGA 接收处理 PS/2 接口鼠标输入信息,并用 VGA 作为输出设备,显示当前鼠标状态及位置。 【关键词】现场可编程逻辑器件,FPGA,PS/2,状态机。
2021-11-21 15:05:19 326KB FPGA VGA
1
本设计是基于NIOSII软核的PS2键盘电子琴,通过ps2键盘上的21个按键来控制蜂鸣器发出高音,中音与低音的(DO,RE,MI,FA,SO,LA,SI)音调,并通过三个数码管来显示相应的音调值,通过两个拨码开关控制节拍(即一个音持续的时间)。
2021-11-17 20:50:53 15.74MB fpga niosii ps2键盘
1
sony PS2遥控器遥控小车,51单片机控制
2021-11-17 14:27:51 13.24MB 遥控小车 51单片机
1
WECG PS2 WE10中文版 2.0
2021-11-13 14:15:54 1.03MB 2.0 PS2 WE10中文版 WECG
1
KEIL的工程,STM32F103C8T6,PS2摇杆模块的代码,两个模拟通道AD数据转换,一个数字量显示按键按下。将摇杆模块部分直接打包了,可以随时调用到别的工程,适合大学生电赛控制类的使用。积分超过5分,私聊我,我会调整
2021-11-12 14:28:37 4.91MB keil
1
通过PS2键盘输入接口来传递游戏操作控制信号, VGA屏幕显示接口来传递RGB信号在屏幕上显示。通过在ISE上编写VHDL工程代码,读到Basys2开发板上来完成游戏的功能。
2021-11-04 18:25:28 817KB VHDL PS2
1