调制解调器的代码,频移键控的,上课材料,仅可以参考~~
2021-05-19 22:51:13 30KB 2FSK verilog
1
书 名 传真通信与调制解调器 作 者 朱梅英 出 版 社 人民邮电出版社 学习传真极具参考坐标的参考书
2021-05-17 16:10:06 7.52MB 传真 MODEM 调制 解调
1
基于System View的2ASK调制解调器设计
2021-05-17 10:03:07 1.99MB 基于SystemView的2A
本文详细描述了从设计到时序仿真的整个过程 具有FPGA基础的设计人员,可以据此写入相应的芯片 实现程序的模块化
2021-05-16 19:53:09 671KB MSK 调制与解调 Verilog 设计 仿真
1
2020年IT通讯通信通讯行业网络设备配件:调制解调器领域行业分析报告(市场调查报告).pdf
2021-05-13 17:02:05 2.76MB 行业报告
这是个基于fpga 的QPSK调制解调器设计,非常完整,
2021-05-10 09:50:13 1.81MB FPGA QPSK
1
SURFboard SB6190是DOCSIS 3.0调制解调器,能够以高达1.4 Gbps的速度下载!
2021-05-06 12:04:29 263.51MB 开源软件
1
architecture behav of codesdect is   signal m : integer range 0 to 3;   signal sdata : std_logic_vector(2 downto 0);   begin   cdata<= wavenum;   process(clk,clr)   begin   if clr='1' then m if datain = cdata (2) then m<=1; else m if datain = cdata (0) then m<=3; else m m <= 0;
2021-04-13 16:40:01 275KB FPGA
1
基于FPGA的QPSK调制解调器的设计,用FPGA来实现调制解调的方法
2019-12-21 22:22:11 2.78MB 基于FPGA的QPSK调制解调器的设计
1
原文链接:http://blog.csdn.net/u014754386/article/details/51589326 stm32的调制解调器,能够生成载波,同时可以实现还原基带信号的功能。
2019-12-21 21:31:17 2.22MB stm32 2fsk
1