VERILOG设计FPGA接收串口UART发来的指令设定温度报警值,实时采集DS18B20温度传感器并显示输出,完整的QUARTUS 工程文件,FPGA芯片为CYCLONE4E系列中的EP4CE15,可以做为你的设计参考。
FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和8位LED数码管显示的QUARTUS II 12.0工程文件,包括完整的设计文件.V源码,可以做为你的学习及设计参考。 module ds18b20lcd1602display ( Clk, Rst, DQ, //18B20数据端口 Txd, //串口发送端口 LCD_Data, //lcd LCD_RS, LCD_RW, LCD_En, SMData, //数码管段码 SMCom //数码管位码 ); input
温度传感器DS18B20数据手册
2021-02-17 22:06:19 224KB 传感器 温度 DS18B20
1
按键一是切换显示温度页面和设置预警温度页面 按键二和三用来调整预警温度 在17-48度之间可以精确显示。
2021-02-07 09:05:34 76KB 51单片机 DS18B20 温度报警 LCD1602
1
单总线DS18B20,多个传感器挂接单个IO口,读取温度,解放io口,假设需要多个DS18B20测量不同处温度,io口不足,可以使用一个io口便可以读取多个的温度。每个DS18B20的出厂id不同。
1
基于sparant6系列FPGA的小项目设计(4)——代码文件
2021-02-02 00:07:02 6KB fpga fpga/cpld
1
基于51单片机和ds18b20温度传感器的温度监控系统
1
使用.c文件read函数读取返回的16进制值就可以直接获得传感器的温度,本程序为hal库开发 主要是自己在做练习题的时候没有hal版驱动所以重新弄了一个。
2021-01-30 14:05:40 275KB stm32 嵌入式
1
自己写的DS18B20温度采集程序 keil
1
STM32基于HAL库实现DS18B20采集温度数据
2021-01-28 02:31:05 15.82MB STM32 DS18B20 HAL 温度
1