这是一个简单的程序,用VHDL实现流水灯设计,希望能对初学者有所帮助。
2021-11-25 11:09:51 15KB 流水灯,七个数码管依次点亮
1
基于交通灯VHDL设计,很实用。对于十字路口交通灯的设计。有红黄绿三种灯,不同的工作状态
2021-11-25 10:52:49 458KB 交通灯 EDA FPGA
1
使用Spartan-3e用VHDL语言产生400khz的方波,用FPGA来实现的,原频率为50兆,程序采用分频程序改为要求的400K。
2021-11-25 09:47:25 1KB VHDl 方波
1
VHDL语言编辑的8-3优先编码器,可以用它来构成16-4编码器
2021-11-25 00:41:15 978B 优先编码器
1
基于Quartus II 11.0的100vhdl例子
2021-11-25 00:06:02 6.95MB vhdl Quartus
1
基于cyclone2 fpga的任意波形发生器VHDL设计QUARTUS工程文件+文档说明: library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity DDS_top is port ( clk:in std_logic; --内部时钟 reset:in std_logic; --复位信号 key5 sclk:out std_logic; --TLC5615 sclk时钟脚 din:out std_logic; --TLC5615 din数据脚 cs:out std_logic; --TLC5615 cs片选 set_waveform_key_in:in std_logic; --波形设置按键 key1 set_f_key_in:in std_logic; --频率设置按键 key2 set_a_key_in:in std_logic; --幅值设置按键 key3 set_p_key_in:in std_logic; --相位设置按键 key4 sin_data:out std_logic_vector(9 downto 0) --输出的波形数据,用于测试 ); end DDS_top; architecture behave of DDS_top is signal set_waveform_line:std_logic_vector(1 downto 0); signal f_control_line:std_logic_vector(20 downto 0); signal a_control_line:std_logic_vector(3 downto 0); signal p_control_line:std_logic_vector(9 downto 0); signal dds_data_out_temp:std_logic_vector(9 downto 0); signal set_waveform_key:std_logic; signal set_f_key:std_logic; signal set_a_key:std_logic; signal set_p_key:std_logic; --DDs模块 component DDS is port( clk:in std_logic;--时钟输入 dds_data_out:out std_logic_vector(9 downto 0);--DDS数据输出 set_waveform:in std_logic_vector(1 downto 0);--设置输出的波形 set_f:in std_logic_vector(20 downto 0);--设置频率 set_a:in std_logic_vector(3 downto 0);--设置幅值 set_p:in std_logic_vector(9 downto 0)--设置频率 ); end component; -- DAC驱动模块 component TLC5615 is port( CLK:IN STD_LOGIC; SCLK:OUT STD_LOGIC; DIN:OUT STD_LOGIC; CS:OUT STD_LOGIC; DATA_IN:IN STD_LOGIC_VECTOR(9 DOWNTO 0) ); end component; -- 按键消抖模块 component key is port( clk:in std_logic; key:in std_logic; key_out:out std_logic ); end component; -- 按键编码模块 component key_coding i
基于FPGA的四相移键控调制解调器的建模与设计 很好的毕设论文
2021-11-24 18:23:49 326KB qpsk VHDL 调制解调模型 仿真
1
里面包含有基于VHDL语言编写的自动售货机程序,同时有详细的注释说明,并且附上仿真图,能够实现货物信息存储,进程控制,硬币处理,余额计算,显示等功能,显示的钱数coin以5角为单位。
2021-11-24 15:44:32 144KB 自动售货机VHDL程序与仿真
1
可实现棋盘格图案显示,数字显示,动画效果
2021-11-24 13:49:56 178KB VGA VHDL语言
1
采用vhdl语言编写的一个小的乒乓球游戏,趣味性较高,为eda课程设计的题目。
2021-11-24 13:07:08 280KB 乒乓球游戏 vhdl语言
1