基于verilog语言的出租车计费器程序设计
2019-12-21 21:24:37 594KB 计价器
1
功能如下: 1. 课题采用单片机进行设计,通过按键来实现清零、增加里程数、增加时间等功能。 2. 硬件电路主要包括振荡电路、按键电路、复位电路等。 3. 出租车计费器采用AT24C02存储芯片和LCD1602液晶显示设计而成。3公里以内为起步价,3公里以外以每公里设定的价格进行计费。等待3分钟以内不计费,3分钟以外以每分钟设定的价格进行计费。 4.总价=3公里内路程的价格+3公里外的路程*单价+3分钟外等待的时间*单价
2019-12-21 21:13:46 20.1MB 单片机 计费器
1
此为我们奋斗3天的成果,其中包含了实验电路测试图和总电路图
2019-12-21 21:09:35 1.39MB 出租车自动计费器
1
微机原理课程设计之出租车计费器包含源代码
2019-12-21 21:07:18 30KB 出租车计费器
1
1、用Proteus设计原理图,要求显示出里程,速度,总价 2、用信号发生器来产生出租车的模拟信号 3、提交原理图文件,Keil工程文件和汇编源程序文件。 4、出租车轮胎周长按1.83米计算。2公里以内按8元计算,超过2公里每公里按2.6元计算。不考虑其他费用。
2019-12-21 21:04:27 22KB proteus仿真
1
出租车模拟计费器,Verilog语言,ISE,sjtu数电实验大作业
2019-12-21 20:34:48 2.44MB 模拟计费器 Verilog sjtu 电子技术实验
1
为便于查找该路径中的文件名以中文命名,需改为纯英文即可调试仿真!
2019-12-21 20:11:05 218KB VHDL 出租车计费器
1
基于51单片机的出租车计费器的程序原理图及仿真
2019-12-21 20:04:39 1.02MB 出租车计费器
1
VHDL实现出租车计费器,通过模块化设计,分模块设计
2019-12-21 19:34:06 225KB VHDL
1