基于CORDIC的反正弦和反余弦计算的FPGA实现
2021-10-31 14:40:45 331KB 研究论文
1
cordic算法的verilog语言实现,包含简单版和复杂版两个doc文档,简单版亲测EP2C8Q208芯片成功
2021-10-25 14:17:28 11KB cordic verilog fpga
1
黑金出的CORDIC算法介绍及实例,内容从浅到深,实例完美,需要具体实例的在我的资源里找
2021-10-25 14:08:43 17.06MB FPGA
1
直接频率合成技术(DDS)是无线通信中的关键技术,因应用场合及技术指标不同,DDS中的正弦波形产生模块有多种实现方法,本设计采用CORDIC算法计算波形数据,并通过预处理实现全部相位波形数据的即时计算,不占用存储资源,且可通过改变迭代次数来调节精度。所设计的DDS精度、频率、相位可调,在Altera Cyclone2中实现时,时钟频率可达172 MHz,占用1 171 LUTs。
2021-10-25 08:41:35 443KB FPGA
1
硕上学位论文 第二章传统CORDIC算法基本原理 第二章传统CORDIC算法基本原理 传统CORDIC算法根据不同的旋转轨迹分成圆周系统、线性系统、双曲系 统;每一系统又有旋转模式和向量模式两种。本章以圆周系统为对象,介绍 CORDIC算法的基本原理,是下一章提出优化措施的理论铺垫。 2.1 CORD I C算法 设一向量(x;,y:),旋转秒角后得新向量(x;,“),如图2-1所示,根据坐标变 换规则,两者有如下关系: -c。sis,秒,OJ-lL少x[;j]=c傩臼[二口 0 一ta,,o-Ix;] ,儿刊 图2.1 CORDIC算法原理图 (2-1) .Ⅳ一l 将旋转角秒分解为N个递减的小旋转角只之和,即0=∑万,秒j,其中幺≥o, 幺顺时针旋转时点=一1,逆时针旋转时4=1。对于每次4、的旋转有 再令 [≥:]=c。sp[谚。二谚一喀tan谚][≥],i=0,1,2,---,N-1, c2-2, 6
2021-10-12 15:53:10 8.83MB henhao
1
基于0.35μm SiGe工艺的高速高精度CORDIC处理器的ASIC实现.pdf
2021-10-08 23:14:25 211KB 处理器 微型机器 数据处理 参考文献
根据cordic算法使用VHDL语言编写实现了三角函数的功能。程序在QuartusII9.0中可以直接运行。
2021-09-28 19:50:51 1.56MB VHDL 三角函数 cordic算法
1
NCO生成原理接介绍、CORDIC算法原理介绍以及MATLAB与Verilog语言实现
2021-09-28 17:04:04 1.73MB matlab实现nco NCO生成原理 NCOMATLAB NCO
线性代数库:Sqrt()示例 1.概述 通过线性代数库实现数学函数sqrt 2.软件工具和系统要求 从2014.1到2016.1的任何Vivado HLS版本 3.设计文件层次结构 | README.md \---code cordic_atan_11bits.h cordic_defines.h cordic_isqrt.cpp cordic_sqrt.cpp float_sqrt.cpp test_main.cpp top_magnitude.cpp \---code-opt cordic_atan_11bits.h cordic_defines.h cordic_isqrt.cpp cordic_sqrt.cpp f
2021-09-23 19:15:15 29KB C++
1
基于FPGA的CORDIC算法详解,详细介绍了CORDIC算法在FPGA上实现的结构
2021-09-22 14:56:37 701KB FPGA CORDIC算法
1