分析了FFT算法的原理,并利用DSPBuilder建立了输入为8点基- 2FFT算法的基本模型,在Simulink和QuartusⅡ中分别进行了仿真,并将仿真结果与Matlab仿真值进行了比 较,实现了8点实序列FFT算法.基于DSPBuilder的FFT算法设计简单,可以重复使用,大大提高了设计效率.
2023-03-28 13:01:00 578KB DSPBuilder QuartusⅡ Simulink
1
FFT频谱分析.pdf............... 基于MATLAB
2023-03-27 14:55:54 696KB MATLAB FFT 频谱分析
1
对噪声背景中插值FFT 方法估计正弦信号频率的精度进行了研究,导出了不加窗和加Hanning 窗时频 率估计均方根误差与信噪比及FFT 长度的关系式;分析了不加窗情况下当信号频率接近FFT 频率分辨率!f 的整数倍 时,由于插值的方向错误对频率估计精度的影响;指出了不加窗时该方法在噪声背景中的频率估计误差远远大于文 [2]中用一个特定的纯测试信号得到的结果;讨论了加窗对频率估计误差的影响. 最后给出了Monte CarIo 模拟实验与 理论分析的对比结果.
2023-03-26 14:22:25 246KB FFT 正弦信号
1
FFT,快速傅立叶变换计算C语言源代码。 提供函数接口: void fft(const complex fi[], complex fo[], const int size);
2023-03-25 14:20:05 4KB FFT C代码
1
甘地大学电子专业Ray Ranjan Varghese设计的FPGA实现FFT,采用的是单精度的浮点,采用IEEE745格式的浮点+ROM RAM的方式成功实现FFT,含有设计报告和设计源代码,并有测试文件,真的很不错。
2023-03-23 16:43:48 382KB FPGA VHDL FFT
1
本文设计的FFT处理器,基于FPGA技术,由于采用移位寄存器流水线结构,实现了两路数据的同时输入,相比传统的级联结构,提高了蝶形运算单元的运算效率,减小了输出延时,降低了芯片资源的使用。
1
快速傅立叶变换(FFT)作为时域和频域转换的基本运算,是数字谱分析的必要前提。传统的FFT使用软件或DSP实现,高速处理时实时性较难满足。FPGA是直接由硬件实现的,其内部结构规则简单,通常可以容纳很多相同的运算单元,因此FPGA在作指定运算时,速度会远远高于通用的DSP芯片。FFT运算结构相对比较简单和固定,适于用FPGA进行硬件实现,并且能兼顾速度及灵活性。本文介绍了一种通用的可以在FPGA上实现32点FFT变换的方法。
2023-03-21 11:07:18 7.98MB fpga 傅里叶变换(
1
代码基于stm32,简洁实用,可作为课设、毕设的参考,也可作为商用项目开发参考。
2023-03-15 14:34:33 4.12MB stm32 单片机 文档资料 arm
1
提出了一种基于十项余弦窗的插值FFT算法,分析了余弦组合窗的特性,从旁瓣特性的优势出发,运用旁瓣峰值较低的十项余弦窗,并用双谱线插值算法推导出其对应的修正公式.仿真计算结果表明,谐波幅值误差小于0.001%,相位误差小于0.001%.新的插值FFT算法与常用的Hannning窗、Blackman窗插值FFT算法的测量结果对比,进一步说明了该算法可以有效提高电力系统谐波测量精度,具备实际应用价值.
1
fftw-3.3.5-dll64 fftw-3.3.5-dll32
2023-03-14 08:05:13 5.36MB fft
1