超前进位,ALU和三个cpu,代码来源@XDP_CS 大佬,自己添加了板级代码。把这里当作百度云保存哈哈
2021-07-01 16:02:23 425KB 计算机组成原理实验 杭电
1
步骤都有,希望对你有帮助
2021-06-29 23:10:26 640KB 计组实验
1
(1) 理解总线的概念及其特性。 (2) 掌握控制总线的功能和应用。 (3)掌握中断控制信号线的功能和应用。 (4)掌握在系统总线上设计中断控制信号线的方法。 (5) 掌握 DMA 控制信号线的功能和应用。 (6) 掌握在系统总线上设计 DMA 控制信号线的方法。
1
计算机组成及汇编原理实验报告-----Cache控制器设计实验 (1)掌握Cache控制器的原理及其设计方法。 (2)熟悉CPLD应用设计及EDA软件的使用。
1
华中科技大学计算计组成实验 educoder中单周期MIPS和多周期微程序地址转移 logisim电路文件
1
NEFU2020.6.5计算机组成原理实验logisim文件,仅供参考,参考,参考 实验目的: 一、 实验目的 理解并掌握存储器的读、写操作过程 理解存储操作中锁存脉冲的作用 掌握存储操作中时序电路的作用 实验内容: 学会对MAR寄存器的使用,并读出数据到MDR寄存器 学会存储器的写入数据操作,并能选中相应地址单元,把MDR寄存器数据写入到存储器中 掌握存储器数据端口的双向控制模式 学会设计时序电路,并利用相应的时序电路,控制存储器的读、写操作
2021-06-25 13:44:58 3KB 寄存器实验 NEFU 计算机组成原理
1
tec5数据通路 计组实验 简化版 proteus电路图
2021-06-23 13:02:33 45KB proteus tec5数据通路
计组实验报告.zip
1
使用verilog语言,实现add sub or sw lw beq j七条指令的多周期CPU设计代码以及相关文档、测试文件。
2021-06-22 22:45:11 531KB verilog 多周期 计组实验 CPU
1
整机实验,包括完整的「quartus文件」「实验微代码」,2016年版本忘记具体要求了,只完成了当时的要求,即可以进行加法和乘法,没有进行其他的扩展
2021-06-19 18:56:08 1.74MB 山东大学 计组 大二下
1