51单片机c语言LCD1602 滚动显示详细操作适合新手入门范例程序
2022-04-13 22:57:55 1KB 51单片机 c语言 LCD1602 滚动显示
1
此代码是大学EDA课程中实验代码,作用是在ISE环境下用VHDL语言编写代码实现液晶屏上滚动显示学号和姓名。
2022-04-07 00:35:04 21KB VHDL ISE 液晶模块 滚动显示
1
基于c#开发的 可以在panel 控件里滚动读取指定文件夹下的图片。可以用VS打开的源码
2022-03-23 15:26:47 45KB C# 滚动显示图片
1
封装QLabel实现文字滚动显示,具体使用与QLabel相似,不同之处有说明。
2022-03-01 10:27:53 1KB MyQLabel QLabel 文字滚动显示
1
QT-横向字体滚动显示,可用于报警显示或消息内容提示
2022-02-11 09:03:12 87KB qt 开发语言
1
51单片机LCD1602滚动显示
2022-02-04 22:03:18 2.41MB 51单片机 嵌入式硬件 单片机
html滚动到可视区域显示隐藏特效动画,适合新手研究开发
2022-01-27 14:44:59 693KB html h5
1
实验8. LCD1602滚动显示.zip
2022-01-24 12:02:02 42KB 资料
基于C51单片机设计的LCD1602滚动显示的DEMO软件例程源码 #include typedef unsigned char uint8; typedef unsigned int uint16; sbit rs=P2^6; sbit rw=P2^5; sbit e=P2^7; uint8 a[16]="perchin designed"; uint8 b[27]="welcome to the world of mcu"; void delay(uint16 i) //1us { while(i--); } void wrc(uint8 c) { delay(1000); rs=0; rw=0; e=0; P0=c; e=1; delay(10); e=0; /* P0=c<<4; e=1; e=0;*/ //四位的LCD要加上 } void wrd(uint8 dat) { delay(1000); rs=1; rw=0; e=0; P0=dat; e=1; delay(10); e=0; /* P0
汇编语言 8位数码管动态滚动显示年月日,代码对于大部分单片机都适用,程序默认显示日期为20211028,可以根据需要对日期进行更改
2022-01-11 14:06:37 15KB Keil PZISP 汇编语言 数码管滚动