基于quartus II 的八位10进制计数器,共一个顶层文件和两个底层文件,有图形设计法和代码设计法,解压后直接打开工程文件即可。
2021-06-08 09:48:46 728KB EDA 频率计 频率计数器
1
68k柜台 分析68000装配源以分析资源和尺寸数据。 对于每条指令,它将告诉您。 CPU周期 总线读取周期 总线写周期 字数 局限性: 由于它会分析您的预组装源,因此无法考虑组装者进行的优化。 整个文件的总时序几乎没有意义,因为它没有考虑分支等问题,但是对于较小的块而言可能很有用。 虽然它在任何宏定义内添加了配置文件信息,但当前不处理宏调用 计时基于即时值的'n'乘数,它将解析简单的表达式,但当前不替代其他地方定义的常量。 用法: 网路应用程式 您可以在基于试用该工具。 命令行界面 要分析源文件,请运行: npx 68kcounter mysource.s 这将以以下格式输出以配置文件数据为前缀的每一行: [cycles]([reads]/[writes]) [size] |
2021-06-07 21:01:48 173KB TypeScript
1
实验操作: 试用8253/8254计数器0做频率为1HZ的方波发生器,8253/8254端口地址分别为220H(计数器0),222H (计数器1) ,224H (计数器2) ,226H (控制口) 。输入时钟频率为100HZ,计数器初始值为500。有点小问题
2021-06-07 18:38:08 3.17MB 8086
1
使用VHDL实现10进制减法计数器,有以下功能: (1)开发平台为ISE14.7 (2)代码已例化,分为顶层文件和三个模块:分频器、计数器、数码管。 (3)计数器具有清零和置数的功能。
2021-06-07 13:43:09 2.16MB VHDL ISE FPGA 10进制减法计数器
1
60进制VHDL设计文本,
2021-06-06 20:33:14 903B 60进制VH
1
这是一个由VHDL语言实现的60进制的加法计数器的实例代码。
2021-06-06 20:29:46 156KB EDA计数器
1
实训七 计数、译码、显示电路 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 2.掌握计数器、译码器、显示器的应用。 二、实训内容 1.用十进制计数器、译码器、显示器组成一个十进制计数显示电路。
2021-06-04 21:47:42 2.84MB 实训七 计数、译码、显示电路
1
UML设计 计算器 没有报告 之后设计好的程序 想要的就下吧
2021-06-03 20:50:04 1.18MB UML设计 计数器
1
数字时钟课程设计,用到振荡器;分频器;计数器;译码器;显示管等仪器,要的来下。
1
使用计数器、译码器,在数码管上显示1~4
2021-06-03 09:03:04 102KB 数字电路 日常学习
1