基于VHDL语言的60进制加法计数器

上传者: l1466589627 | 上传时间: 2021-06-06 20:29:46 | 文件大小: 156KB | 文件类型: ZIP
这是一个由VHDL语言实现的60进制的加法计数器的实例代码。

文件下载

资源详情

[{"title":"( 83 个子文件 156KB ) 基于VHDL语言的60进制加法计数器","children":[{"title":"zuoye2","children":[{"title":"second.sim.rpt <span style='color:#111;'> 18.17KB </span>","children":null,"spread":false},{"title":"second.fit.summary <span style='color:#111;'> 382B </span>","children":null,"spread":false},{"title":"second.fit.rpt <span style='color:#111;'> 33.17KB </span>","children":null,"spread":false},{"title":"second.vhd.bak <span style='color:#111;'> 604B </span>","children":null,"spread":false},{"title":"db","children":[{"title":"second.(4).cnf.hdb <span style='color:#111;'> 583B </span>","children":null,"spread":false},{"title":"second.map.hdb <span style='color:#111;'> 7.89KB </span>","children":null,"spread":false},{"title":"second.(4).cnf.cdb <span style='color:#111;'> 915B </span>","children":null,"spread":false},{"title":"second.sld_design_entry.sci <span style='color:#111;'> 154B </span>","children":null,"spread":false},{"title":"prev_cmp_second.fit.qmsg <span style='color:#111;'> 5.09KB </span>","children":null,"spread":false},{"title":"second.(5).cnf.hdb <span style='color:#111;'> 441B </span>","children":null,"spread":false},{"title":"second.pre_map.hdb <span style='color:#111;'> 6.49KB </span>","children":null,"spread":false},{"title":"second.(8).cnf.cdb <span style='color:#111;'> 1.71KB </span>","children":null,"spread":false},{"title":"second.hier_info <span style='color:#111;'> 851B </span>","children":null,"spread":false},{"title":"second.(8).cnf.hdb <span style='color:#111;'> 815B </span>","children":null,"spread":false},{"title":"second.cmp.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"second.(7).cnf.hdb <span style='color:#111;'> 619B </span>","children":null,"spread":false},{"title":"second.tan.qmsg <span style='color:#111;'> 22.31KB </span>","children":null,"spread":false},{"title":"prev_cmp_second.asm.qmsg <span style='color:#111;'> 1.94KB </span>","children":null,"spread":false},{"title":"second.sgdiff.hdb <span style='color:#111;'> 10.00KB </span>","children":null,"spread":false},{"title":"second.eco.cdb <span style='color:#111;'> 161B </span>","children":null,"spread":false},{"title":"second.tmw_info <span style='color:#111;'> 304B </span>","children":null,"spread":false},{"title":"add_sub_olh.tdf <span style='color:#111;'> 2.99KB </span>","children":null,"spread":false},{"title":"second.map.cdb <span style='color:#111;'> 1.61KB </span>","children":null,"spread":false},{"title":"second.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"second.(3).cnf.cdb <span style='color:#111;'> 973B </span>","children":null,"spread":false},{"title":"second.(0).cnf.hdb <span style='color:#111;'> 1004B </span>","children":null,"spread":false},{"title":"second.(7).cnf.cdb <span style='color:#111;'> 1.39KB </span>","children":null,"spread":false},{"title":"second.sgdiff.cdb <span style='color:#111;'> 1.34KB </span>","children":null,"spread":false},{"title":"second.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"second.(0).cnf.cdb <span style='color:#111;'> 1.58KB </span>","children":null,"spread":false},{"title":"second.cmp.tdb <span style='color:#111;'> 3.34KB </span>","children":null,"spread":false},{"title":"second.eds_overflow <span style='color:#111;'> 3B </span>","children":null,"spread":false},{"title":"second.rtlv_sg_swap.cdb <span style='color:#111;'> 178B </span>","children":null,"spread":false},{"title":"second.(2).cnf.hdb <span style='color:#111;'> 790B </span>","children":null,"spread":false},{"title":"second.pre_map.cdb <span style='color:#111;'> 1.55KB </span>","children":null,"spread":false},{"title":"second.cmp.cdb <span style='color:#111;'> 3.81KB </span>","children":null,"spread":false},{"title":"second.(5).cnf.cdb <span style='color:#111;'> 600B </span>","children":null,"spread":false},{"title":"second.cbx.xml <span style='color:#111;'> 88B </span>","children":null,"spread":false},{"title":"second.hif <span style='color:#111;'> 10.12KB </span>","children":null,"spread":false},{"title":"second.(6).cnf.cdb <span style='color:#111;'> 496B </span>","children":null,"spread":false},{"title":"second.fit.qmsg <span style='color:#111;'> 5.09KB </span>","children":null,"spread":false},{"title":"second.(1).cnf.hdb <span style='color:#111;'> 656B </span>","children":null,"spread":false},{"title":"second.(3).cnf.hdb <span style='color:#111;'> 554B </span>","children":null,"spread":false},{"title":"second.cmp.hdb <span style='color:#111;'> 7.94KB </span>","children":null,"spread":false},{"title":"second.rtlv.hdb <span style='color:#111;'> 6.49KB </span>","children":null,"spread":false},{"title":"second.cmp0.ddb <span style='color:#111;'> 3.29KB </span>","children":null,"spread":false},{"title":"second.map.qmsg <span style='color:#111;'> 1.90KB </span>","children":null,"spread":false},{"title":"prev_cmp_second.map.qmsg <span style='color:#111;'> 9.87KB </span>","children":null,"spread":false},{"title":"prev_cmp_second.qmsg <span style='color:#111;'> 35.86KB </span>","children":null,"spread":false},{"title":"prev_cmp_second.tan.qmsg <span style='color:#111;'> 26.91KB </span>","children":null,"spread":false},{"title":"wed.wsf <span style='color:#111;'> 4.03KB </span>","children":null,"spread":false},{"title":"second.sim.hdb <span style='color:#111;'> 2.92KB </span>","children":null,"spread":false},{"title":"second.sim.qmsg <span style='color:#111;'> 3.24KB </span>","children":null,"spread":false},{"title":"second.sim.cvwf <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":"second.cmp.rdb <span style='color:#111;'> 11.71KB </span>","children":null,"spread":false},{"title":"second.(6).cnf.hdb <span style='color:#111;'> 435B </span>","children":null,"spread":false},{"title":"second.sim.rdb <span style='color:#111;'> 2.58KB </span>","children":null,"spread":false},{"title":"second.rtlv_sg.cdb <span style='color:#111;'> 1.46KB </span>","children":null,"spread":false},{"title":"second.sld_design_entry_dsc.sci <span style='color:#111;'> 154B </span>","children":null,"spread":false},{"title":"prev_cmp_second.sim.qmsg <span style='color:#111;'> 3.24KB </span>","children":null,"spread":false},{"title":"second.db_info <span style='color:#111;'> 137B </span>","children":null,"spread":false},{"title":"second.asm.qmsg <span style='color:#111;'> 1.94KB </span>","children":null,"spread":false},{"title":"second.tis_db_list.ddb <span style='color:#111;'> 174B </span>","children":null,"spread":false},{"title":"second.(1).cnf.cdb <span style='color:#111;'> 1.64KB </span>","children":null,"spread":false},{"title":"second.(2).cnf.cdb <span style='color:#111;'> 3.55KB </span>","children":null,"spread":false}],"spread":false},{"title":"second.qpf <span style='color:#111;'> 907B </span>","children":null,"spread":false},{"title":"second.qsf <span style='color:#111;'> 1.79KB </span>","children":null,"spread":false},{"title":"second.flow.rpt <span style='color:#111;'> 6.51KB </span>","children":null,"spread":false},{"title":"second.vwf <span style='color:#111;'> 5.71KB </span>","children":null,"spread":false},{"title":"second.tan.summary <span style='color:#111;'> 1.11KB </span>","children":null,"spread":false},{"title":"second.map.rpt <span style='color:#111;'> 20.56KB </span>","children":null,"spread":false},{"title":"second.pin <span style='color:#111;'> 25.03KB </span>","children":null,"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"second.root_partition.map.kpt <span style='color:#111;'> 340B </span>","children":null,"spread":false}],"spread":true},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"second.map.summary <span style='color:#111;'> 288B </span>","children":null,"spread":false},{"title":"second.tan.rpt <span style='color:#111;'> 34.54KB </span>","children":null,"spread":false},{"title":"second.vhd <span style='color:#111;'> 638B </span>","children":null,"spread":false},{"title":"second.pof <span style='color:#111;'> 207.14KB </span>","children":null,"spread":false},{"title":"second.sof <span style='color:#111;'> 56.61KB </span>","children":null,"spread":false},{"title":"second.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"second.asm.rpt <span style='color:#111;'> 7.12KB </span>","children":null,"spread":false},{"title":"second.bsf <span style='color:#111;'> 1.92KB </span>","children":null,"spread":false},{"title":"second.qws <span style='color:#111;'> 579B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明