本文对数字调制中的2FSK采用matlab进行了仿真实验,代码中没有加入噪声,采用相干解调的解调方式。 (一)、代码的流程如下: (1)、设置载波频率,码元频率(本文中即比特率)和采样率; (2)、产生2FSK信号; (3)、信号分别经过两个带通滤波器后得到band_passed_sig1和band_passed_sig2; (4)、对band_passed_sig1和band_passed_sig2分别进行相干解调,再分别进行低通滤波得到lower_sig1和lower_sig2; (5)、对lower_sig1和lower_sig2进行抽样判决得到输出信号; (6)、统计无码率; (二)、2FSK进行matlab仿真的疑难点: (1)、相干解调采用的“同频同相的载波”的获取。 由于信号经过带通滤波器之后(本文采用的是FIR线性相位数字滤波器)会出现相移,所以不能直接用调制时候的载波信号与此时的band_passed_sig1信号相乘来相干解调,此时用来相干解调的载波应该与经过滤波器之后出现相移的“载波”信号同频同相,本文代码中直接采用band_passed_sig1.*band_passed_sig1的方式进行相干解调,这点需要读者细心斟酌一下(其实不难理解的)。 (2)、抽样判决的判决时刻选择。 据笔者观察,经过低通滤波器之后得到的信号会出现时移(延时)的情况,建议读者可以先设置10个码元个数,观察一下低通滤波器的输出波形,然后再选择波形峰值时刻作为抽样判决时刻。本文的代码中是采用每一个码元的结束时刻作为抽样判决时刻,这是笔者通过观察低通滤波器的输出波形后得到的,不具有通用性。 时移的原因,笔者觉得是因为FIR数字滤波器的线性相位所导致的,但是怎么个时移法,笔者目前还没有弄明白(数字信号处理学的不够好),还有待探究。
2021-02-19 20:11:12 2KB FSK matlab
1
通信原理课程设计—实现ask、fsk、psk、dpsk调制解调,包括matlab源程序,可以运行。
1
1、FSK通信系统理论分析 (1)发射机模块:数字信号经过FSK调制后进行发射,利用载波的频率变化来传递数字信息。它利用基带信号离散取值的特点对载波频率进行频移键控。实现起来较容易,抗噪声与抗衰减的性能较好。在中低速数据传输中得到了广泛的应用。 最常见的是用两个频率承载二进制1和0的双频FSK系统。 (2)接收机模块:基带FSK调制信号对载波频率进行键控后,经过信道和加性高斯白噪声后进入接收机。接收机根据接收到的信号进行相干解调,恢复出原始信号,达到通信的目的。 2、系统实验仿真 (1)FSK信号波形产生;(2)FSK信号功率谱;(3)FSK接收信号波形;(4)FSK信号误码率曲线。
2020-01-03 11:40:24 5.23MB matlab 仿真程序 毕业设计 通信
1
vhdl语言 f1=3.75kz,f2=1.875kz 码宽1.6ms
2019-12-21 22:20:21 869KB fpga fsk调制
1
浙江大学通信原理实验报告 实验三四 振荡器与FM&FSK;调制 模拟锁相环与FM&FSK;解调 的实验原理!只有实验原理!实验原理!手打这么辛苦传上来造福大家(划掉)骗点积分,谢谢大家!
2019-12-21 22:11:31 221KB 通信原理
1
主要完成了ask psk fsk调制和解调程序及仿真。使用Quartus II 8.1编辑。
2019-12-21 22:07:04 478KB fpga vhdl ask psk
1
基于FPGA的2FSK调制解调,里面有详细的工程说明,对于学习ISE软件和通信原理的知识很有帮助
2019-12-21 21:54:02 396KB FPGA,ISE,FSK,调制解调
1
matlab开发-FSK调制解调技术。这是一个用于FSK调制解调方案的matlab代码。
2019-12-21 21:39:07 2KB 环境和设置
1
//该模块为8分频器 module div8( clk, divout); //端口列表 input clk; output divout; //端口说明 reg [2:0]div; reg divout; //定义数据类型 initial divout=0; //初始化 always @(posedge clk) begin div=div+1; divout=div[2]; //3bit计数器,实现8分频
2019-12-21 21:11:50 1.06MB verilog FPGA 2FSK fsk
1
FSK调制设计电路,我的设计电路图 FSK调制设计电路,我的设计电路图
2019-12-21 21:04:03 193KB FSK
1