VESA标准 RV1 VGA 调试必须参考的宝典
2019-12-21 19:23:42 603KB VESA VESA标准
1
基于fpga设计vga图片显示,已经成功,代码相信,我用的是21eda开发板
2019-12-21 19:21:00 943KB fpga vga图片显示
1
VGA适用于实现串口显示的接口,其源程序的实现对于学习其功能以及它在试验中的应用有很重要的意义
2019-12-21 18:58:51 620KB VGA ,实现程序
1
基于FPGA的LCD控制器设计源代码,可以直接用代码也很值得研究一下,学习LCD、VGA显示控制器的资料
2019-12-21 18:57:20 946KB FPGA LCD VGA
1
Verilog HDL实现了使用WM8731对音频进行采样,并且使用ALTERA FPGA实现了频谱计算(FFT),在VGA上显示频谱
2019-12-21 18:52:53 38KB Verilog WM8731
1
基于FPGA的VGA图像显示控制器设计;
2019-12-21 18:52:24 1.53MB VGA
1
用FPGA当主控芯片,SDRAM缓存数据,VGA显示图像,模块化设计程序,模块之间有详细讲解!
2019-12-21 18:50:50 2.97MB SDRAM
1
基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现基于FPGA的VGA显示控制器的实现
2019-12-21 18:50:09 270KB 基于FPGA的VGA显示控制器的实现
1
Verilog做的贪吃蛇游戏,基于EGO1开发板,使用VGA显示,PS2键盘控制,完整的Vivado 2017.1工程
2019-12-13 15:39:35 22.87MB FPGA VGA PS2
1
FPGA期末课程设计最后做出来的成果。小组成员熬了几个大夜,最后算是做出来一个比较满意的成果,当然啦,老师给的成绩也挺不错的。项目一共分成三大模块,键盘控制模块+逻辑控制模块+显示模块。工具:DE2-115实验板+VGA显示+键盘控制+QuartusⅡ13.0 语言:Verilog+VDHL
2018-06-15 15:56:54 5.85MB FPGA VGA
1