FPGA控制OV2640摄像头采集,摄像头寄存器已经配置好了,可以直接拿来参考,VGA分辨率800*600显示。有任何问题可以加我联系方式:qq2036795517 微信wpt666aaa
2021-11-24 11:04:45 6.66MB FPGA 摄像头
字模提取软件的使用(pctolCD2002,基于FPGA的VGA显示汉字)-附件资源
2021-11-16 10:49:52 23B
1
基于FPGA的ov7670 SDRAM实时VGA显示工程源码,包含IIC总线的7670的寄存器配置,以及SDRAM控制器,此外在加上灰度中值滤波算法的实现,欢迎下载
2021-11-12 12:47:11 11.74MB VGA OV7670 fpga/cpld 中值滤波
1
本文介绍一种利用可编程逻辑器件实现VGA图像显示控制的方法,设计中采用了Verilog HDL语言对赛灵思Basys开发板进行编程设计。首先通过Image2Lcd软件分别提取两幅图片的色彩信息数据,将得到的数据存储到开发板的FPGA内嵌块ROM中,然后读取块ROM中的图片信息送到LCD显示器显示。利用FPGA完成VGA显示控制,可以使图像的显示脱离PC机的控制。
2021-10-13 08:55:22 108KB VGA显示 XC3S100E 控制 文章
1
基于fpga的vga显示,可以实现小球碰撞反弹,代码请用模块化的思想进行分析与解读,这是做硬件的必须具备的能力,vga的资料可以参考其他的,这边用的是640*480@60hz,xilinx的spatan6的板子
2021-09-29 11:03:29 5KB fpga vga 小球移动 xilinx
1
这是一个用VGA显示汉字的VHDL程序 这是本人自己的杰作希望对大家有所帮助 感谢大家的分享
2021-09-16 15:14:15 2KB VGA
1
乒乓球游戏,pong_game.VHDL 语言,可实现两人对打,VGA显示,PS2键盘控制,界面友好,有开始界面,进行界面,和结束界面,花了一个月慢慢调试的,所有源代码共享,里面有根据自己理解写的注释,仅供参考。
2021-09-15 14:51:33 9.42MB VGAPS2
1
基于xilinx spartan6 xc6slx150芯片的图像边缘提取源码,提取结果通过VGA显示,是一个ise的完整工程
2021-09-12 21:37:40 5.64MB FPGA Sobel VGA
1
用VHDL语言编写的彩条显示,部分程序如下: architecture behave of VGA is -- horizontal timing signals constant h_data: integer:=640; constant h_front: integer:=16; constant h_back: integer:=48; constant h_sync: integer:=96; constant h_period: integer:= h_sync + h_data + h_front + h_back; -- vertical timing signals constant v_data: integer:=480; constant v_front: integer:=11; constant v_back: integer:=32; constant v_sync: integer:=2; constant v_period: integer:= v_sync + v_data + v_front + v_back; signal henable, venable : std_logic; signal clk50M : std_logic; signal hcnt: std_logic_vector(9 downto 0); -- horizontal pixel counter signal vcnt: std_logic_vector(9 downto 0); -- vertical line counter
2021-09-08 14:14:51 2KB VGA
1
上传两个VGA显示的代码及教程 希望对新手有用教程
2021-09-08 09:50:23 560KB VGA 显示教程 代码
1