关于FPGA 的逻辑开发,视频图像开发上的入门进阶及图像处理算法开发教程。包含基本外设开发设计详解、CMOS 视频图像算法处理等。
2019-12-21 20:55:08 13.97MB FPGA 图像处理算法 verilo
1
本资料包括《基于FPGA的嵌入式图像处理系统设计》和《基于FPGA的数字图像处理原理及应用》。其中,原理及应用这本书偏重工程应用,详细易懂,有verilog源码好上手。现在把PDF和源码找好了,非常非常全面实用的实战案例,基于FPGA!
2019-12-21 20:53:56 185.55MB FPGA 图像处理 机器视觉 人工智能
1
《Design for Embedded Image Processing on FPGAs》英文原版,详细介绍了用FPGA实现数字图像处理算法的架构和流程,在目前FPGA处理图像领域中,是难得的一本参考书
2019-12-21 20:15:56 9.46MB FPGA 图像处理 嵌入式
1
FPGA 流水线操作实现图像连通域处理标记位置,由于该方法进行的是并行流水线处理,即对图像扫描 一遍就可完成对所有连通区域的识别,因此识别每个连通区域的延时都是固定的,并不会因 为图像中连通区域多,延时就增加。该延时也很小,约扫描十几行图像的时间。
2019-12-21 20:14:11 1.6MB FPGA 图像处理 连通域
1