利用C语言编写的简单的维吉尼亚密码算法,实现了在控制台下键盘输入明文或者密文以及密钥实现加密或者解密
2021-09-09 15:16:50 3KB 维吉尼亚 C语言 密码 加密
1
这是用C++编写的银行ATM机存取款机,能实现正常的注册新用户、登录、存取款、转账、查询、安全退出等功能操作,已在VS2008控制台上成功运行,而且界面做得也不错
2021-09-09 09:29:46 3.15MB C++ ATM
1
用VHDL语言编写的彩条显示,部分程序如下: architecture behave of VGA is -- horizontal timing signals constant h_data: integer:=640; constant h_front: integer:=16; constant h_back: integer:=48; constant h_sync: integer:=96; constant h_period: integer:= h_sync + h_data + h_front + h_back; -- vertical timing signals constant v_data: integer:=480; constant v_front: integer:=11; constant v_back: integer:=32; constant v_sync: integer:=2; constant v_period: integer:= v_sync + v_data + v_front + v_back; signal henable, venable : std_logic; signal clk50M : std_logic; signal hcnt: std_logic_vector(9 downto 0); -- horizontal pixel counter signal vcnt: std_logic_vector(9 downto 0); -- vertical line counter
2021-09-08 14:14:51 2KB VGA
1
网上的一般都是查表法,这是我按模2除法写的。 里面有2个函数: 1、带入需要计算的数据串与除数(最高位与最低位必须为1),得出CRC校验码,插入尾部 2、验证数据的完整性(验证携带8位CRC校验码数据的完整性)
2021-09-07 18:47:07 16.89MB CRC校验码
1
利用C语言编写程序,实现Matlab中的filtfilt()滤波器。
2021-09-07 09:04:12 19KB filtfilt 滤波器
1
该文档提供了人工神经网络的7种算法,每种算法所采用的设计语言为C语言,对于学过C语言的使用者来说,简单易懂。
2021-09-05 18:42:25 285KB 神经网络、C语言、标准算法
1
语言编写的带音调均衡器的MP3播放器源码(bass播放器)
2021-09-04 16:02:52 364KB MP3播放器
1
51单片机C语言编写的GPS定位 GPS模块 附接线图
2021-09-04 09:04:49 710KB GPS模块 51单片机GPS 定位 GPS接线图
2个C语言编写的鼠标连点成品源代码 可直接编译
2021-09-03 17:26:28 49.63MB c c++ 鼠标连点 鼠标连点器
1
这是用C语言写的计算器。有界面哦!欢迎大家下载!
2021-09-01 22:23:20 244KB C语言 计算器
1