systemverilog验证方法学,运用systemverilog搭建测试平台,比较好的IC验证类读物。
2019-12-21 21:10:52 27.72MB systemverilo ic verification verilog
1
systemverilog验证》讲解了SystemVerilog语言的工作原理。介绍了类、随机化和功能覆盖率等测试手段和概念,并且在创建测试平台方而提供了很多引导性的建议。《systemverilog验证》借助大量的实例说明SystemVerilog的各种验证方法,以及如何根据实际的应用情况选择**的方法达到尽可能高的覆盖率。而且,重点演示了如何使用面向对象编程(OOP)的方法建立由覆盖率驱动并且受约束的基本的随机分层测试平台,此外,还论述了SystemVerilog与C语言的接口技术。
2019-12-21 20:57:59 33KB 代码 systemverilo
1
夏宇闻SystemVerilog+验证方法学.pdf
2019-12-21 20:07:36 45.42MB 夏宇闻
1