VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。 VHDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多EDA公司支持,在电子工程领域,已成为事实上的通用硬件描述语言。
2021-05-29 14:16:02 27KB 4位乘法器 VHDL程序 文章 硬件设计
1
计算机组成原理--原码一位乘法器设计
2021-05-29 14:02:25 506KB 计算机组成原理
1
计算机组成原理--补码一位乘法器设计
2021-05-29 14:02:24 513KB 计算机组成原理
1
第9关:原码一位乘法器设计.txt
2021-05-25 12:36:11 461KB 第9关:原码一位乘法器设计
1
256位时序乘法器,的Verilog RTL代码,个人学习时用的,可供参考
2021-05-23 21:44:57 3KB 256位 乘法器 时序
1
用vhdl编写的四位乘法器,完成两个4位二进制数相乘
2021-05-21 08:24:10 4KB vhdl,入门,乘法器
1
vhdl语言, 4位乘法器程序 用Quartus Π的VHDL语言实现乘法器的基本流程,包括设计输入、综合、适配、仿真测试等方法
2021-05-21 08:15:16 4KB 4位乘法器 vhdl
1
数电实验4——四位乘法器工程文件
2021-05-18 19:03:46 1.09MB 数电 四位乘法器 实验
1
包含MULT、MULTU的v文件以及对应的testbank文件,代码带注释。
1
logisim补码一位乘法器设计.txt
2021-05-13 10:43:15 478KB logisim补码一位乘法器设计
1