opencore上的8051单片机CPU的VHDL源码,opencore上的8051单片机CPU的VHDL源码,
2022-05-20 18:59:38 6.68MB 8051,VHDL
1
可满足8个组,同时参加竞赛。抢答器复位后,数码显示为0,在竞赛主持人出完题并示意抢答开始后,每个组都可以通过各自的按钮开关发出抢答信号,抢答器一旦接收到某组最先发出的信号后,立即让数码管显出该组的组号,同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。主持人用复位钮,复位抢答器,数码显示归0,提示音停止,在抢答选手回答完问题后,重复前述过程,可进行下一题抢答。
2022-05-20 11:07:48 243KB EDA 8位 抢答器 锁存
1
vhdl课程设计,八位抢答器的源代码vhdl课程设计,八位抢答器的源代码
2022-05-20 10:14:31 25KB vhdl设计八位抢答
1
基于VHDL的出租车计费器设计 论文 完整版
2022-05-19 21:53:06 4.64MB 基于VHDL的出租车计费器设计
1
4位数码扫描显示电路VHDL代码,容易的,自己看
2022-05-19 16:20:28 16KB 数码
1
10进制计数器VHDL代码 Library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_10 is Port( reset : in std_logic; clock : in std_logic; num_out : out std_logic_vector(3 downto 0) ); end counter_10; architecture Behavior of counter_10 is signal temp: std_logic_vector(3 downto 0); begin num_out <= inner_reg; process(clock,reset)
2022-05-19 15:00:56 598B 计数器 VHDL
1
甘地大学电子专业Ray Ranjan Varghese设计的FPGA实现FFT,采用的是单精度的浮点,采用IEEE745格式的浮点+ROM RAM的方式成功实现FFT,含有设计报告和设计源代码,并有测试文件,真的很不错。
2022-05-19 15:00:00 382KB FPGA VHDL FFT
1
4×4键盘扫描电路设计 使用vhdl语言编程,基于quartus ii的编程语言,文档中包括详细的vhdl程序,以及波形仿真图等等。
2022-05-19 14:58:30 4.22MB vhdl quartus ii
1
存在6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个开关控制左转弯)。
2022-05-18 20:26:06 107KB vhdl,汽车尾灯
1
本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。(50页详细论文,附有详细代码)
2022-05-18 15:37:51 283KB FPGA VHDL 数字电压表
1