在本次设计中,采用AT89C51单片机中的定时器/计数器中定时和计数的原理,能使其精确计时;利用中断系统使其能实现开始和复位的功能;整个系统非常精简,且具有灵活的现场可更改性。
2021-05-07 15:29:58 246KB AT89C51 秒表 仿真电路 开发文档
1
lcd1602显示计时,矩阵按键切换功能,按键功能有计时与暂停,菜单切换,记录,查记录,清零等。最大计时99.59.99分,每分钟误差不超过0.05秒,可自定义记录时间的组数。矩阵按键采用定时器消抖,大大减少误差。
2021-05-06 11:02:20 58KB 51单片机 lcd1602 矩阵按键 定时器消抖
1
1)利用单片机设计实现一个2位LED数码显示“秒表”; 2)显示时间为00-99,每秒自动加一 ; 3)要具有开始,复位和暂停功能。
2021-05-05 15:27:11 174KB 单片机 秒表 数码管
1
设计电子表,电子表指示的时间由nexys4 DDR开发板的8个数码管显示,从左到右数码管的前两个显示小时(范围0-24),第3-4个数码管显示分(范围0-59,计数到60,向小时位进1),第5-6个数码管显示秒(范围0-59,计数到60向分位进1),第7-8个数码管显示毫秒(范围0-99,计数到100向秒位进1) 。要求实现如下功能: (1)跑表的计时范围为0.01s~59min59.99s,计时精度为10ms。 (2)具有异步清零、启动功能。 (3)计时频率为100Hz。 (4)数字跑表的分和秒在数码管上显示出来,百分秒的BCD码在8个led上显示出来。
2021-05-05 12:36:19 921KB verilog
1
基于verilog的 秒表的设计,可以让你在大学的一些实验课上轻松通过哦
2021-05-05 02:19:49 5KB verilog 秒表
1
利用数码管显示的电子秒表,最大显示99.59.99分钟,可实现记录功能,定义了四个独立按键,采用定时器消抖,无需用延时函数。若要了解更多,还请自行下载,代码的注释写的很明了,新手也能看懂。若有错误,感谢大佬不吝赐教!
1
Matlab的GUI秒表秒表计时器-stopwatch.m 程序是基于matlab7.0编写的,贴出来希望有所帮助!呵呵~ 程序: stopwatch.m 实现程序 程序界面 秒表计时器.jpg 程序界面
2021-05-03 10:09:28 5KB matlab
1
基于五一单片机定时器,中断的数码管的秒表的小程序,希望对新手有帮助!!!!!!!11
2021-05-02 18:46:34 891B 秒表
1
近年来随着科技的飞速发展,单片机的应用正在不断的走向深入。本文阐述了基于单片机的数字电子秒表设计。本设计主要特点是计时精度达到0.001s,解决了传统的由于计时精度不够造成的误差和不公平性,是各种体育竞赛的必备设备之一。另外硬件部分设置了查看按键,可以对秒表上一次计时时间进行保存,供使用者查询。 本设计的数字电子秒表系统采用AT89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现五位LED显示,显示时间为0~99.999秒,计时精度为0.001秒,能正确地进行计时,同时能记录一次时间,并在下一次计时后对上一次计时时间进行查询。其中软件系统采用汇编语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,并在WAVE中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。
2021-04-27 16:07:26 3.78MB 秒表 单片机
1
本程序使用正点原子STM32F1精英版,硬件需要带TFTOLCD,记住,要先设置闹钟,不然会炸。嘿嘿嘿
2021-04-25 22:03:39 5.32MB STM32F1 秒表 考核
1