我参照DE2的示例代码,重写的一份更简洁的代码。
2021-03-02 09:05:15 911KB FPGA DE2 AUDIOCODEC 音频
1
STM32CubeIDE Audio播放音频,DAC + TIM + DMA 随言: 建议下载该例程看看源码,但是由于官方使用的是外部TF卡存储音频,有一个读取外部数据拷贝到SRAM的延时问题,故官方使用了双缓存区方式。 而我只想简单播放音频,故我找了一段15秒的16KHz_8bit_wav格式音频,直接转成C语言数组存在芯片内部flash。由于是放在内部flash,故不用担心数据拷贝的速度问题,所以我使用单缓冲区就可以了。甚至可以不需要把内部flash数据拷贝到缓存区,直接让DMA指向flash数据的地址。 音频的采样位数为8bit 16bit 24bit 32bit,采样位数越高当然音质越好,但是相对的存储也急剧增加。 注意:STM32F4的DAC最大分辨率为12bit,故我们只能使用8bit的音频。还有就是一般高采样位数音频转低采样位数音频的一定要适当加入抖动(噪声)。
2021-03-01 21:17:41 6.31MB DAC
1
本程序通过stm32 DAC输出正弦波,任意频率可调,设置多少就是多少!!!!
2021-02-23 17:18:00 394KB DAC Stm32
1
AD9764技术手册 14位DAC数据采集FPGA VERILOG 逻辑驱动Quatus prime 18.0完整工程文件,可以做为的设计参考。
Stm32G431 DAC的普通应用 DAC+OPAMP 的进阶应用
2021-02-19 09:02:19 19.33MB Stm32CUBEMX
1
硬件设备:TFTLCD显示屏+stm32f103zet6。引脚PA4通过DAC产生正弦波信号,可以调频率和幅度;引脚PC1通过ADC采集信号,代码里面有很多的FFT波形分析方法,但我目前只会分析出峰峰值,但其他代码可以给大佬参考。
2021-02-18 16:28:01 5.02MB stm32f103zet6 正弦信号 DAC ADC
1
自己写的ADC代码,用STM32CUBE生成
2021-02-17 09:03:27 746KB stm32
1
AD5541FPGA驱动程序,采用语言位Verilog,该程序提供AD5541(DAC)(16bits)驱动功能,可轻松例化
2021-02-12 14:02:10 3KB fpga
1
stm32f407利用DAC的DMA通过产生位数达到256位的sin函数,定时器触发周围41K stm32f407利用DAC的DMA通过产生位数达到256位的sin函数,定时器触发周围41K
2021-02-12 10:02:59 3.21MB stm32 DAC sin
1
Stm32 - keil5 - dac输出正弦波加adc多通道采集;Stm32 - keil5 - dac输出正弦波加adc多通道采集;Stm32 - keil5 - dac输出正弦波加adc多通道采集
2021-02-02 12:36:25 8.81MB stm32 keil5 dac输出正弦波 adc多通道采集
1