基于vhdl在quartusii上的设计,通过实验板检测。
2024-04-27 11:30:15 108.11MB vhdl fpga
1
用python脚本从Cadence导出xdc约束文件-csv2xdc 用python脚本从Cadence导出xdc约束文件,本资源包括: exe执行程序、代码和示例。
2024-04-26 16:31:41 3.94MB python Cadence
1
语音处理指定了一个由 Lawrence Rabiner 教授(罗格斯大学和加州大学圣巴巴拉分校)、Ronald Schafer 教授(斯坦福大学)、Kirty Vedula 和 Siva Yedithi(罗格斯大学)组成的团队。 此练习是一组语音处理练习之一,旨在补充LR Rabiner和RW Schafer编写的教科书“数字语音处理的理论和应用”中的教材。 此 MATLAB 练习实现了自适应差分脉冲编码调制 (ADPCM) 编码器,用于语音信号的波形编码。
2024-04-26 16:09:33 5.41MB matlab
1
Modbus Rtu协议,服务器监听指定端口代码,maven引入后开箱即用。 三行代码即可实现下发指令给网络IO控制器。比如USR-IO808-CAT1 实现远程控制通道的断开或闭合。远程控制多台设备开关so easy!!!
2024-04-26 15:05:41 35KB Modbus 远程控制
1
1.工具之间数据可以连通 2.可以本地仿真,也可以连接CCD 3.支持TCP/IP、串口通讯(TCP/IP比较靠谱),做完流程至运行模式后,通讯发送run可以触发运行。目前不能指定触发哪一个流程,只能全部触发。 4.支持多个流程 5.当前有预处理工具,匹配工具:几何灰度,找线找圆等工具 6.功能不完整,可能有bug 7.目前知道的bug,两个流程同时使用几何或者同时使用灰度,可能会崩,已经定位到问题了,准备完善(已完善) 8.删除流程导致崩溃(已完善) 9.修改找圆、找线参数报错(已完善) 有问题可以联系(看简介)
2024-04-26 13:04:02 46.92MB
1
针对本质安全型电源必须要有多重过流、过压保护的要求,介绍了一种基于LM317稳压器的矿用本质安全型电源电路工作原理,分析了该电路中熔断器、滤波电容、稳压器的设计要求,详细介绍了过流及短路保护电路和过压保护电路的参数计算方法。该电源电路中的过流及过压双重保护设计可为技术人员设计合理、可靠的本质安全型电源提供参考。
2024-04-26 11:45:27 518KB 行业研究
1
基于 php 开发的小程序商城,简单容易上手。 小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。小程序商城源码(包含前后端)。
2024-04-26 10:34:42 45.99MB
1
用于频率响应测量的LabVIEW项目,用于National Instruments LabVIEW 7.1.1所需软件:-National Instruments“ LabVIEW 7.1.1 for Windows”,http://www.ni.com-Microsoft GW-Basic(例如Commodore GW-Basic)适用于MSDOS-硬件驱动程序,包含在“ drivers”目录中。项目内容-使用Microsoft GW-Basic程序测试数字万用表-将函数发生器连接到LabVIEW-将数字万用表连接到LabVIEW-将模板适配到功能性Labview应用程序“ Voltcraft_Frequenzgang.vi”-执行频率响应分析-用Labview表示频率响应要执行该项目,必须将LabVIEW文件“ Voltcraft_Frequenzgang.vi”加载到LabVIEW 7.1.1中,然后执行。 版权所有2005-2015 Rolf Hemmerling,BerndBöttcher。 根据Apache许可,版本2.0许可
2024-04-25 21:52:38 7.61MB 开源软件
1
Verilog AXI组件自述文件 有关更多信息和更新: : GitHub存储库: : 介绍 AXI4和AXI4精简总线组件的集合。 大多数组件的接口宽度均可完全参数化。 包括利用完整cocotb测试平台。 文献资料 axi_adapter模块 具有可设置参数的数据和地址接口宽度的AXI宽度适配器模块。 支持INCR突发类型和窄突发。 包装axi_adapter_rd和axi_adapter_wr。 axi_adapter_rd模块 具有可设置参数的数据和地址接口宽度的AXI宽度适配器模块。 支持INCR突发类型和窄突发。 axi_adapter_wr模块 具有可设置参数的数据和地址接口宽度的AXI宽度适配器模块。 支持INCR突发类型和窄突发。 axi_axil_adapter模块 具有可设置参数的数据和地址接口宽度的AXI至AXI lite转换器和宽度适配器模块。 支持IN
2024-04-25 21:09:33 397KB Verilog
1
实用 ATP 测距模型 从中国电力研学论坛里下载到的 不可多得的好东西
2024-04-25 20:47:43 19KB ATP模型
1